get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/47292/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 47292,
    "url": "http://patchwork.dpdk.org/api/patches/47292/?format=api",
    "web_url": "http://patchwork.dpdk.org/project/dpdk/patch/20181024081833.21432-5-olivier.matz@6wind.com/",
    "project": {
        "id": 1,
        "url": "http://patchwork.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<20181024081833.21432-5-olivier.matz@6wind.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/20181024081833.21432-5-olivier.matz@6wind.com",
    "date": "2018-10-24T08:18:23",
    "name": "[RFC,04/14] net: add rte prefix to ether functions",
    "commit_ref": null,
    "pull_url": null,
    "state": "superseded",
    "archived": true,
    "hash": "3e151e4cfcca5a6a1784408b1859748b36fc9a1f",
    "submitter": {
        "id": 8,
        "url": "http://patchwork.dpdk.org/api/people/8/?format=api",
        "name": "Olivier Matz",
        "email": "olivier.matz@6wind.com"
    },
    "delegate": {
        "id": 319,
        "url": "http://patchwork.dpdk.org/api/users/319/?format=api",
        "username": "fyigit",
        "first_name": "Ferruh",
        "last_name": "Yigit",
        "email": "ferruh.yigit@amd.com"
    },
    "mbox": "http://patchwork.dpdk.org/project/dpdk/patch/20181024081833.21432-5-olivier.matz@6wind.com/mbox/",
    "series": [
        {
            "id": 2053,
            "url": "http://patchwork.dpdk.org/api/series/2053/?format=api",
            "web_url": "http://patchwork.dpdk.org/project/dpdk/list/?series=2053",
            "date": "2018-10-24T08:18:21",
            "name": "prefix network structures",
            "version": 1,
            "mbox": "http://patchwork.dpdk.org/series/2053/mbox/"
        }
    ],
    "comments": "http://patchwork.dpdk.org/api/patches/47292/comments/",
    "check": "warning",
    "checks": "http://patchwork.dpdk.org/api/patches/47292/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@dpdk.org",
        "Delivered-To": "patchwork@dpdk.org",
        "Received": [
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id 2F8AF5F21;\n\tWed, 24 Oct 2018 10:19:13 +0200 (CEST)",
            "from proxy.6wind.com (host.76.145.23.62.rev.coltfrance.com\n\t[62.23.145.76]) by dpdk.org (Postfix) with ESMTP id 631DC5B1C\n\tfor <dev@dpdk.org>; Wed, 24 Oct 2018 10:19:01 +0200 (CEST)",
            "from glumotte.dev.6wind.com. (unknown [10.16.0.195])\n\tby proxy.6wind.com (Postfix) with ESMTP id 6F20E208A95\n\tfor <dev@dpdk.org>; Wed, 24 Oct 2018 10:15:07 +0200 (CEST)"
        ],
        "From": "Olivier Matz <olivier.matz@6wind.com>",
        "To": "dev@dpdk.org",
        "Date": "Wed, 24 Oct 2018 10:18:23 +0200",
        "Message-Id": "<20181024081833.21432-5-olivier.matz@6wind.com>",
        "X-Mailer": "git-send-email 2.11.0",
        "In-Reply-To": "<20181024081833.21432-1-olivier.matz@6wind.com>",
        "References": "<20181024081833.21432-1-olivier.matz@6wind.com>",
        "Subject": "[dpdk-dev] [RFC 04/14] net: add rte prefix to ether functions",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n\t<mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n\t<mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "Add 'rte_' prefix to functions:\n- rename is_same_ether_addr() as rte_is_same_ether_addr().\n- rename is_zero_ether_addr() as rte_is_zero_ether_addr().\n- rename is_unicast_ether_addr() as rte_is_unicast_ether_addr().\n- rename is_multicast_ether_addr() as rte_is_multicast_ether_addr().\n- rename is_broadcast_ether_addr() as rte_is_broadcast_ether_addr().\n- rename is_universal_ether_addr() as rte_is_universal_ether_addr().\n- rename is_local_admin_ether_addr() as rte_is_local_admin_ether_addr().\n- rename is_valid_assigned_ether_addr() as rte_is_valid_assigned_ether_addr().\n- rename eth_random_addr() as rte_eth_random_addr().\n- rename ether_addr_copy() as rte_ether_addr_copy().\n- rename ether_format_addr() as rte_ether_format_addr().\n\nSigned-off-by: Olivier Matz <olivier.matz@6wind.com>\n---\n app/test-pmd/cmdline.c                             |  6 ++--\n app/test-pmd/config.c                              |  8 ++---\n app/test-pmd/csumonly.c                            |  4 +--\n app/test-pmd/flowgen.c                             |  4 +--\n app/test-pmd/icmpecho.c                            | 22 ++++++------\n app/test-pmd/ieee1588fwd.c                         |  6 ++--\n app/test-pmd/macfwd.c                              |  4 +--\n app/test-pmd/macswap.c                             |  6 ++--\n app/test-pmd/txonly.c                              |  4 +--\n app/test-pmd/util.c                                |  2 +-\n doc/guides/sample_app_ug/ipv4_multicast.rst        |  4 +--\n doc/guides/sample_app_ug/l2_forward_job_stats.rst  |  2 +-\n .../sample_app_ug/l2_forward_real_virtual.rst      |  2 +-\n doc/guides/sample_app_ug/link_status_intr.rst      |  2 +-\n drivers/net/atlantic/atl_ethdev.c                  |  2 +-\n drivers/net/atlantic/hw_atl/hw_atl_utils.c         |  4 +--\n drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c    |  2 +-\n drivers/net/avf/avf_ethdev.c                       | 14 ++++----\n drivers/net/avf/avf_vchnl.c                        |  4 +--\n drivers/net/avp/avp_ethdev.c                       |  6 ++--\n drivers/net/axgbe/axgbe_ethdev.c                   |  6 ++--\n drivers/net/bnx2x/bnx2x.c                          |  4 +--\n drivers/net/bnx2x/bnx2x_vfpf.c                     |  6 ++--\n drivers/net/bnxt/bnxt_flow.c                       | 12 +++----\n drivers/net/bnxt/bnxt_hwrm.c                       |  2 +-\n drivers/net/bonding/rte_eth_bond_8023ad.c          | 18 +++++-----\n drivers/net/bonding/rte_eth_bond_alb.c             | 26 +++++++-------\n drivers/net/bonding/rte_eth_bond_pmd.c             | 16 ++++-----\n drivers/net/cxgbe/base/adapter.h                   |  2 +-\n drivers/net/cxgbe/cxgbe_flow.c                     |  4 +--\n drivers/net/dpaa/dpaa_ethdev.c                     |  2 +-\n drivers/net/dpaa2/dpaa2_ethdev.c                   |  8 ++---\n drivers/net/e1000/em_ethdev.c                      |  2 +-\n drivers/net/e1000/igb_ethdev.c                     |  8 ++---\n drivers/net/e1000/igb_flow.c                       |  8 ++---\n drivers/net/e1000/igb_pf.c                         |  6 ++--\n drivers/net/ena/ena_ethdev.c                       |  2 +-\n drivers/net/enetc/enetc_ethdev.c                   |  2 +-\n drivers/net/enic/enic_main.c                       |  2 +-\n drivers/net/failsafe/failsafe.c                    |  4 +--\n drivers/net/failsafe/failsafe_ether.c              |  2 +-\n drivers/net/fm10k/fm10k_ethdev.c                   | 12 +++----\n drivers/net/i40e/i40e_ethdev.c                     | 38 ++++++++++----------\n drivers/net/i40e/i40e_ethdev_vf.c                  | 16 ++++-----\n drivers/net/i40e/i40e_flow.c                       | 24 ++++++-------\n drivers/net/i40e/i40e_pf.c                         |  6 ++--\n drivers/net/i40e/rte_pmd_i40e.c                    | 10 +++---\n drivers/net/ixgbe/ixgbe_ethdev.c                   |  8 ++---\n drivers/net/ixgbe/ixgbe_flow.c                     |  8 ++---\n drivers/net/ixgbe/ixgbe_pf.c                       |  4 +--\n drivers/net/ixgbe/rte_pmd_ixgbe.c                  |  2 +-\n drivers/net/kni/rte_eth_kni.c                      |  2 +-\n drivers/net/liquidio/lio_ethdev.c                  |  2 +-\n drivers/net/mlx4/mlx4_flow.c                       |  2 +-\n drivers/net/mlx5/mlx5_flow_tcf.c                   |  4 +--\n drivers/net/mlx5/mlx5_mac.c                        |  4 +--\n drivers/net/mlx5/mlx5_nl.c                         |  6 ++--\n drivers/net/mvneta/mvneta_ethdev.c                 |  6 ++--\n drivers/net/mvpp2/mrvl_ethdev.c                    |  6 ++--\n drivers/net/netvsc/hn_rxtx.c                       |  4 +--\n drivers/net/netvsc/hn_vf.c                         |  6 ++--\n drivers/net/nfp/nfp_net.c                          |  6 ++--\n drivers/net/null/rte_eth_null.c                    |  2 +-\n drivers/net/qede/qede_ethdev.c                     | 26 +++++++-------\n drivers/net/sfc/sfc_ethdev.c                       |  6 ++--\n drivers/net/sfc/sfc_flow.c                         | 10 +++---\n drivers/net/sfc/sfc_port.c                         |  2 +-\n drivers/net/szedata2/rte_eth_szedata2.c            |  2 +-\n drivers/net/tap/rte_eth_tap.c                      | 10 +++---\n drivers/net/tap/tap_flow.c                         |  4 +--\n drivers/net/thunderx/base/nicvf_mbox.c             |  2 +-\n drivers/net/thunderx/nicvf_ethdev.c                |  6 ++--\n drivers/net/vdev_netvsc/vdev_netvsc.c              |  4 +--\n drivers/net/vhost/rte_eth_vhost.c                  |  4 +--\n drivers/net/virtio/virtio_ethdev.c                 | 10 +++---\n drivers/net/virtio/virtio_rxtx.c                   |  4 +--\n drivers/net/vmxnet3/vmxnet3_ethdev.c               |  4 +--\n examples/bond/main.c                               |  8 ++---\n examples/ethtool/ethtool-app/main.c                |  4 +--\n examples/ethtool/lib/rte_ethtool.c                 |  2 +-\n examples/eventdev_pipeline/pipeline_common.h       |  4 +--\n examples/flow_filtering/main.c                     |  2 +-\n examples/ip_fragmentation/main.c                   |  4 +--\n examples/ip_reassembly/main.c                      |  4 +--\n examples/ipsec-secgw/ipsec-secgw.c                 |  2 +-\n examples/ipv4_multicast/main.c                     |  6 ++--\n examples/kni/main.c                                |  2 +-\n examples/l2fwd-crypto/main.c                       |  2 +-\n examples/l2fwd-jobstats/main.c                     |  2 +-\n examples/l2fwd-keepalive/main.c                    |  2 +-\n examples/l2fwd/main.c                              |  2 +-\n examples/l3fwd-acl/main.c                          |  2 +-\n examples/l3fwd-power/main.c                        |  6 ++--\n examples/l3fwd-vf/main.c                           |  4 +--\n examples/l3fwd/l3fwd_em.h                          |  4 +--\n examples/l3fwd/l3fwd_lpm.h                         |  4 +--\n examples/l3fwd/main.c                              |  4 +--\n examples/link_status_interrupt/main.c              |  2 +-\n examples/performance-thread/l3fwd-thread/main.c    | 40 +++++++++++-----------\n examples/ptpclient/ptpclient.c                     |  2 +-\n examples/quota_watermark/qw/main.c                 |  2 +-\n examples/tep_termination/vxlan_setup.c             | 14 ++++----\n examples/vhost/main.c                              |  4 +--\n examples/vmdq/main.c                               |  2 +-\n examples/vmdq_dcb/main.c                           |  2 +-\n lib/librte_ethdev/rte_ethdev.c                     | 20 +++++------\n lib/librte_gro/gro_tcp4.c                          |  8 ++---\n lib/librte_gro/gro_tcp4.h                          |  4 +--\n lib/librte_gro/gro_vxlan_tcp4.c                    | 20 +++++------\n lib/librte_net/rte_arp.c                           |  6 ++--\n lib/librte_net/rte_ether.h                         | 24 ++++++-------\n lib/librte_pipeline/rte_table_action.c             | 36 +++++++++----------\n test/test/packet_burst_generator.c                 |  8 ++---\n test/test/test_link_bonding.c                      | 14 ++++----\n test/test/test_link_bonding_mode4.c                | 26 +++++++-------\n test/test/test_pmd_perf.c                          |  2 +-\n 116 files changed, 414 insertions(+), 414 deletions(-)",
    "diff": "diff --git a/app/test-pmd/cmdline.c b/app/test-pmd/cmdline.c\nindex c866ffe32..d21d984bb 100644\n--- a/app/test-pmd/cmdline.c\n+++ b/app/test-pmd/cmdline.c\n@@ -8607,8 +8607,8 @@ cmd_tunnel_filter_parsed(void *parsed_result,\n \n \tmemset(&tunnel_filter_conf, 0, sizeof(tunnel_filter_conf));\n \n-\tether_addr_copy(&res->outer_mac, &tunnel_filter_conf.outer_mac);\n-\tether_addr_copy(&res->inner_mac, &tunnel_filter_conf.inner_mac);\n+\trte_ether_addr_copy(&res->outer_mac, &tunnel_filter_conf.outer_mac);\n+\trte_ether_addr_copy(&res->inner_mac, &tunnel_filter_conf.inner_mac);\n \ttunnel_filter_conf.inner_vlan = res->inner_vlan;\n \n \tif (res->ip_value.family == AF_INET) {\n@@ -12261,7 +12261,7 @@ static void cmd_mcast_addr_parsed(void *parsed_result,\n {\n \tstruct cmd_mcast_addr_result *res = parsed_result;\n \n-\tif (!is_multicast_ether_addr(&res->mc_addr)) {\n+\tif (!rte_is_multicast_ether_addr(&res->mc_addr)) {\n \t\tprintf(\"Invalid multicast addr %02X:%02X:%02X:%02X:%02X:%02X\\n\",\n \t\t       res->mc_addr.addr_bytes[0], res->mc_addr.addr_bytes[1],\n \t\t       res->mc_addr.addr_bytes[2], res->mc_addr.addr_bytes[3],\ndiff --git a/app/test-pmd/config.c b/app/test-pmd/config.c\nindex 614719ec0..9db38e327 100644\n--- a/app/test-pmd/config.c\n+++ b/app/test-pmd/config.c\n@@ -107,7 +107,7 @@ static void\n print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \n@@ -3530,7 +3530,7 @@ mcast_addr_add(portid_t port_id, struct rte_ether_addr *mc_addr)\n \t * in the pool of multicast addresses.\n \t */\n \tfor (i = 0; i < port->mc_addr_nb; i++) {\n-\t\tif (is_same_ether_addr(mc_addr, &port->mc_addr_pool[i])) {\n+\t\tif (rte_is_same_ether_addr(mc_addr, &port->mc_addr_pool[i])) {\n \t\t\tprintf(\"multicast address already filtered by port\\n\");\n \t\t\treturn;\n \t\t}\n@@ -3538,7 +3538,7 @@ mcast_addr_add(portid_t port_id, struct rte_ether_addr *mc_addr)\n \n \tif (mcast_addr_pool_extend(port) != 0)\n \t\treturn;\n-\tether_addr_copy(mc_addr, &port->mc_addr_pool[i]);\n+\trte_ether_addr_copy(mc_addr, &port->mc_addr_pool[i]);\n \teth_port_multicast_addr_list_set(port_id);\n }\n \n@@ -3557,7 +3557,7 @@ mcast_addr_remove(portid_t port_id, struct rte_ether_addr *mc_addr)\n \t * Search the pool of multicast MAC addresses for the removed address.\n \t */\n \tfor (i = 0; i < port->mc_addr_nb; i++) {\n-\t\tif (is_same_ether_addr(mc_addr, &port->mc_addr_pool[i]))\n+\t\tif (rte_is_same_ether_addr(mc_addr, &port->mc_addr_pool[i]))\n \t\t\tbreak;\n \t}\n \tif (i == port->mc_addr_nb) {\ndiff --git a/app/test-pmd/csumonly.c b/app/test-pmd/csumonly.c\nindex 2c458693d..f3fb78a75 100644\n--- a/app/test-pmd/csumonly.c\n+++ b/app/test-pmd/csumonly.c\n@@ -762,9 +762,9 @@ pkt_burst_checksum_forward(struct fwd_stream *fs)\n \t\t * and inner headers */\n \n \t\teth_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n-\t\tether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n+\t\trte_ether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n \t\t\t\t&eth_hdr->d_addr);\n-\t\tether_addr_copy(&ports[fs->tx_port].eth_addr,\n+\t\trte_ether_addr_copy(&ports[fs->tx_port].eth_addr,\n \t\t\t\t&eth_hdr->s_addr);\n \t\tparse_ethernet(eth_hdr, &info);\n \t\tl3_hdr = (char *)eth_hdr + info.l2_len;\ndiff --git a/app/test-pmd/flowgen.c b/app/test-pmd/flowgen.c\nindex 574162cd4..cbba5211a 100644\n--- a/app/test-pmd/flowgen.c\n+++ b/app/test-pmd/flowgen.c\n@@ -171,8 +171,8 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \n \t\t/* Initialize Ethernet header. */\n \t\teth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n-\t\tether_addr_copy(&cfg_ether_dst, &eth_hdr->d_addr);\n-\t\tether_addr_copy(&cfg_ether_src, &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&cfg_ether_dst, &eth_hdr->d_addr);\n+\t\trte_ether_addr_copy(&cfg_ether_src, &eth_hdr->s_addr);\n \t\teth_hdr->ether_type = rte_cpu_to_be_16(ETHER_TYPE_IPv4);\n \n \t\t/* Initialize IP header. */\ndiff --git a/app/test-pmd/icmpecho.c b/app/test-pmd/icmpecho.c\nindex 49720ad41..879f6283d 100644\n--- a/app/test-pmd/icmpecho.c\n+++ b/app/test-pmd/icmpecho.c\n@@ -225,7 +225,7 @@ ether_addr_dump(const char *what, const struct rte_ether_addr *ea)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, ea);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, ea);\n \tif (what)\n \t\tprintf(\"%s\", what);\n \tprintf(\"%s\", buf);\n@@ -370,12 +370,12 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n \t\t\t\tcontinue;\n \t\t\t}\n \t\t\tif (verbose_level > 0) {\n-\t\t\t\tether_addr_copy(&arp_h->arp_data.arp_sha, &eth_addr);\n+\t\t\t\trte_ether_addr_copy(&arp_h->arp_data.arp_sha, &eth_addr);\n \t\t\t\tether_addr_dump(\"        sha=\", &eth_addr);\n \t\t\t\tip_addr = arp_h->arp_data.arp_sip;\n \t\t\t\tipv4_addr_dump(\" sip=\", ip_addr);\n \t\t\t\tprintf(\"\\n\");\n-\t\t\t\tether_addr_copy(&arp_h->arp_data.arp_tha, &eth_addr);\n+\t\t\t\trte_ether_addr_copy(&arp_h->arp_data.arp_tha, &eth_addr);\n \t\t\t\tether_addr_dump(\"        tha=\", &eth_addr);\n \t\t\t\tip_addr = arp_h->arp_data.arp_tip;\n \t\t\t\tipv4_addr_dump(\" tip=\", ip_addr);\n@@ -391,15 +391,15 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n \t\t\t */\n \n \t\t\t/* Use source MAC address as destination MAC address. */\n-\t\t\tether_addr_copy(&eth_h->s_addr, &eth_h->d_addr);\n+\t\t\trte_ether_addr_copy(&eth_h->s_addr, &eth_h->d_addr);\n \t\t\t/* Set source MAC address with MAC address of TX port */\n-\t\t\tether_addr_copy(&ports[fs->tx_port].eth_addr,\n+\t\t\trte_ether_addr_copy(&ports[fs->tx_port].eth_addr,\n \t\t\t\t\t&eth_h->s_addr);\n \n \t\t\tarp_h->arp_opcode = rte_cpu_to_be_16(RTE_ARP_OP_REPLY);\n-\t\t\tether_addr_copy(&arp_h->arp_data.arp_tha, &eth_addr);\n-\t\t\tether_addr_copy(&arp_h->arp_data.arp_sha, &arp_h->arp_data.arp_tha);\n-\t\t\tether_addr_copy(&eth_h->s_addr, &arp_h->arp_data.arp_sha);\n+\t\t\trte_ether_addr_copy(&arp_h->arp_data.arp_tha, &eth_addr);\n+\t\t\trte_ether_addr_copy(&arp_h->arp_data.arp_sha, &arp_h->arp_data.arp_tha);\n+\t\t\trte_ether_addr_copy(&eth_h->s_addr, &arp_h->arp_data.arp_sha);\n \n \t\t\t/* Swap IP addresses in ARP payload */\n \t\t\tip_addr = arp_h->arp_data.arp_sip;\n@@ -456,9 +456,9 @@ reply_to_icmp_echo_rqsts(struct fwd_stream *fs)\n \t\t * ICMP checksum is computed by assuming it is valid in the\n \t\t * echo request and not verified.\n \t\t */\n-\t\tether_addr_copy(&eth_h->s_addr, &eth_addr);\n-\t\tether_addr_copy(&eth_h->d_addr, &eth_h->s_addr);\n-\t\tether_addr_copy(&eth_addr, &eth_h->d_addr);\n+\t\trte_ether_addr_copy(&eth_h->s_addr, &eth_addr);\n+\t\trte_ether_addr_copy(&eth_h->d_addr, &eth_h->s_addr);\n+\t\trte_ether_addr_copy(&eth_addr, &eth_h->d_addr);\n \t\tip_addr = ip_h->src_addr;\n \t\tif (is_multicast_ipv4_addr(ip_h->dst_addr)) {\n \t\t\tuint32_t ip_src;\ndiff --git a/app/test-pmd/ieee1588fwd.c b/app/test-pmd/ieee1588fwd.c\nindex c6aa3c618..2b7003be4 100644\n--- a/app/test-pmd/ieee1588fwd.c\n+++ b/app/test-pmd/ieee1588fwd.c\n@@ -178,9 +178,9 @@ ieee1588_packet_fwd(struct fwd_stream *fs)\n \tport_ieee1588_rx_timestamp_check(fs->rx_port, timesync_index);\n \n \t/* Swap dest and src mac addresses. */\n-\tether_addr_copy(&eth_hdr->d_addr, &addr);\n-\tether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n-\tether_addr_copy(&addr, &eth_hdr->s_addr);\n+\trte_ether_addr_copy(&eth_hdr->d_addr, &addr);\n+\trte_ether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n+\trte_ether_addr_copy(&addr, &eth_hdr->s_addr);\n \n \t/* Forward PTP packet with hardware TX timestamp */\n \tmb->ol_flags |= PKT_TX_IEEE1588_TMST;\ndiff --git a/app/test-pmd/macfwd.c b/app/test-pmd/macfwd.c\nindex 631f86f3e..f15149252 100644\n--- a/app/test-pmd/macfwd.c\n+++ b/app/test-pmd/macfwd.c\n@@ -92,9 +92,9 @@ pkt_burst_mac_forward(struct fwd_stream *fs)\n \t\t\t\t\t\t       void *));\n \t\tmb = pkts_burst[i];\n \t\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n-\t\tether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n+\t\trte_ether_addr_copy(&peer_eth_addrs[fs->peer_addr],\n \t\t\t\t&eth_hdr->d_addr);\n-\t\tether_addr_copy(&ports[fs->tx_port].eth_addr,\n+\t\trte_ether_addr_copy(&ports[fs->tx_port].eth_addr,\n \t\t\t\t&eth_hdr->s_addr);\n \t\tmb->ol_flags &= IND_ATTACHED_MBUF | EXT_ATTACHED_MBUF;\n \t\tmb->ol_flags |= ol_flags;\ndiff --git a/app/test-pmd/macswap.c b/app/test-pmd/macswap.c\nindex 36d385728..4c57a2a56 100644\n--- a/app/test-pmd/macswap.c\n+++ b/app/test-pmd/macswap.c\n@@ -123,9 +123,9 @@ pkt_burst_mac_swap(struct fwd_stream *fs)\n \t\teth_hdr = rte_pktmbuf_mtod(mb, struct rte_ether_hdr *);\n \n \t\t/* Swap dest and src mac addresses. */\n-\t\tether_addr_copy(&eth_hdr->d_addr, &addr);\n-\t\tether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n-\t\tether_addr_copy(&addr, &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&eth_hdr->d_addr, &addr);\n+\t\trte_ether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n+\t\trte_ether_addr_copy(&addr, &eth_hdr->s_addr);\n \n \t\tmb->ol_flags &= IND_ATTACHED_MBUF | EXT_ATTACHED_MBUF;\n \t\tmb->ol_flags |= ol_flags;\ndiff --git a/app/test-pmd/txonly.c b/app/test-pmd/txonly.c\nindex 3aa5e2560..eb7319466 100644\n--- a/app/test-pmd/txonly.c\n+++ b/app/test-pmd/txonly.c\n@@ -227,8 +227,8 @@ pkt_burst_transmit(struct fwd_stream *fs)\n \t\t/*\n \t\t * Initialize Ethernet header.\n \t\t */\n-\t\tether_addr_copy(&peer_eth_addrs[fs->peer_addr],&eth_hdr.d_addr);\n-\t\tether_addr_copy(&ports[fs->tx_port].eth_addr, &eth_hdr.s_addr);\n+\t\trte_ether_addr_copy(&peer_eth_addrs[fs->peer_addr],&eth_hdr.d_addr);\n+\t\trte_ether_addr_copy(&ports[fs->tx_port].eth_addr, &eth_hdr.s_addr);\n \t\teth_hdr.ether_type = rte_cpu_to_be_16(ETHER_TYPE_IPv4);\n \n \t\t/*\ndiff --git a/app/test-pmd/util.c b/app/test-pmd/util.c\nindex 31815292e..c7c497389 100644\n--- a/app/test-pmd/util.c\n+++ b/app/test-pmd/util.c\n@@ -17,7 +17,7 @@ static inline void\n print_ether_addr(const char *what, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", what, buf);\n }\n \ndiff --git a/doc/guides/sample_app_ug/ipv4_multicast.rst b/doc/guides/sample_app_ug/ipv4_multicast.rst\nindex 8f2fb3930..68ae68596 100644\n--- a/doc/guides/sample_app_ug/ipv4_multicast.rst\n+++ b/doc/guides/sample_app_ug/ipv4_multicast.rst\n@@ -227,8 +227,8 @@ The actual packet transmission is done in the mcast_send_pkt() function:\n \n         RTE_ASSERT(ethdr != NULL);\n \n-        ether_addr_copy(dest_addr, &ethdr->d_addr);\n-        ether_addr_copy(&ports_eth_addr[port], &ethdr->s_addr);\n+        rte_ether_addr_copy(dest_addr, &ethdr->d_addr);\n+        rte_ether_addr_copy(&ports_eth_addr[port], &ethdr->s_addr);\n         ethdr->ether_type = rte_be_to_cpu_16(ETHER_TYPE_IPv4);\n \n         /* Put new packet into the output queue */\ndiff --git a/doc/guides/sample_app_ug/l2_forward_job_stats.rst b/doc/guides/sample_app_ug/l2_forward_job_stats.rst\nindex 15ca6db20..d3cdc629f 100644\n--- a/doc/guides/sample_app_ug/l2_forward_job_stats.rst\n+++ b/doc/guides/sample_app_ug/l2_forward_job_stats.rst\n@@ -467,7 +467,7 @@ Naturally, the number of ports in the portmask must be even, otherwise, the appl\n \n         /* src addr */\n \n-        ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n+        rte_ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n \n         l2fwd_send_packet(m, (uint8_t) dst_port);\n     }\ndiff --git a/doc/guides/sample_app_ug/l2_forward_real_virtual.rst b/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\nindex 33f7ee7ee..59f5c8bdf 100644\n--- a/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\n+++ b/doc/guides/sample_app_ug/l2_forward_real_virtual.rst\n@@ -383,7 +383,7 @@ Naturally, the number of ports in the portmask must be even, otherwise, the appl\n \n         /* src addr */\n \n-        ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n+        rte_ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n \n         l2fwd_send_packet(m, (uint8_t) dst_port);\n     }\ndiff --git a/doc/guides/sample_app_ug/link_status_intr.rst b/doc/guides/sample_app_ug/link_status_intr.rst\nindex 50baf3467..a3ba229bd 100644\n--- a/doc/guides/sample_app_ug/link_status_intr.rst\n+++ b/doc/guides/sample_app_ug/link_status_intr.rst\n@@ -324,7 +324,7 @@ The processing is very simple: processes the TX port from the RX port and then r\n         *((uint64_t *)tmp) = 0x000000000002 + (dst_port << 40);\n \n         /* src addr */\n-        ether_addr_copy(&lsi_ports_eth_addr[dst_port], &eth->s_addr);\n+        rte_ether_addr_copy(&lsi_ports_eth_addr[dst_port], &eth->s_addr);\n \n         lsi_send_packet(m, dst_port);\n     }\ndiff --git a/drivers/net/atlantic/atl_ethdev.c b/drivers/net/atlantic/atl_ethdev.c\nindex 5be9e528c..585d24472 100644\n--- a/drivers/net/atlantic/atl_ethdev.c\n+++ b/drivers/net/atlantic/atl_ethdev.c\n@@ -1229,7 +1229,7 @@ static int\n atl_add_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\t\tuint32_t index __rte_unused, uint32_t pool __rte_unused)\n {\n-\tif (is_zero_ether_addr(mac_addr)) {\n+\tif (rte_is_zero_ether_addr(mac_addr)) {\n \t\tPMD_DRV_LOG(ERR, \"Invalid Ethernet Address\");\n \t\treturn -EINVAL;\n \t}\ndiff --git a/drivers/net/atlantic/hw_atl/hw_atl_utils.c b/drivers/net/atlantic/hw_atl/hw_atl_utils.c\nindex 401bd11cf..4075f2895 100644\n--- a/drivers/net/atlantic/hw_atl/hw_atl_utils.c\n+++ b/drivers/net/atlantic/hw_atl/hw_atl_utils.c\n@@ -654,7 +654,7 @@ static int hw_atl_utils_get_mac_permanent(struct aq_hw_s *self,\n \t\tmac_addr[1] = rte_constant_bswap32(mac_addr[1]);\n \t}\n \n-\tether_addr_copy((struct rte_ether_addr *)mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)mac_addr,\n \t\t\t(struct rte_ether_addr *)mac);\n \n \tif ((mac[0] & 0x01U) || ((mac[0] | mac[1] | mac[2]) == 0x00U)) {\n@@ -865,7 +865,7 @@ static int aq_fw1x_set_wol(struct aq_hw_s *self, bool wol_enabled, u8 *mac)\n \t\tprpc->msg_wol.pattern_id = 1U;\n \t\tprpc->msg_wol.wol_packet_type = 2U; /* Magic Packet */\n \n-\t\tether_addr_copy((struct rte_ether_addr *)mac,\n+\t\trte_ether_addr_copy((struct rte_ether_addr *)mac,\n \t\t\t(struct rte_ether_addr *)&prpc->msg_wol.wol_pattern);\n \t} else {\n \t\trpc_size = sizeof(prpc->msg_id) + sizeof(prpc->msg_del_id);\ndiff --git a/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c b/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\nindex 1fc18bb27..c336b8583 100644\n--- a/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\n+++ b/drivers/net/atlantic/hw_atl/hw_atl_utils_fw2x.c\n@@ -218,7 +218,7 @@ int aq_fw2x_get_mac_permanent(struct aq_hw_s *self, u8 *mac)\n \t\tmac_addr[1] = rte_constant_bswap32(mac_addr[1]);\n \t}\n \n-\tether_addr_copy((struct rte_ether_addr *)mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)mac_addr,\n \t\t\t(struct rte_ether_addr *)mac);\n \n \tif ((mac[0] & 0x01U) || ((mac[0] | mac[1] | mac[2]) == 0x00U)) {\ndiff --git a/drivers/net/avf/avf_ethdev.c b/drivers/net/avf/avf_ethdev.c\nindex 523911e17..af3944480 100644\n--- a/drivers/net/avf/avf_ethdev.c\n+++ b/drivers/net/avf/avf_ethdev.c\n@@ -696,7 +696,7 @@ avf_dev_add_mac_addr(struct rte_eth_dev *dev, struct rte_ether_addr *addr,\n \tstruct avf_info *vf = AVF_DEV_PRIVATE_TO_VF(adapter);\n \tint err;\n \n-\tif (is_zero_ether_addr(addr)) {\n+\tif (rte_is_zero_ether_addr(addr)) {\n \t\tPMD_DRV_LOG(ERR, \"Invalid Ethernet Address\");\n \t\treturn -EINVAL;\n \t}\n@@ -941,11 +941,11 @@ avf_dev_set_default_mac_addr(struct rte_eth_dev *dev,\n \told_addr = (struct rte_ether_addr *)hw->mac.addr;\n \tperm_addr = (struct rte_ether_addr *)hw->mac.perm_addr;\n \n-\tif (is_same_ether_addr(mac_addr, old_addr))\n+\tif (rte_is_same_ether_addr(mac_addr, old_addr))\n \t\treturn 0;\n \n \t/* If the MAC address is configured by host, skip the setting */\n-\tif (is_valid_assigned_ether_addr(perm_addr))\n+\tif (rte_is_valid_assigned_ether_addr(perm_addr))\n \t\treturn -EPERM;\n \n \tret = avf_add_del_eth_addr(adapter, old_addr, FALSE);\n@@ -973,7 +973,7 @@ avf_dev_set_default_mac_addr(struct rte_eth_dev *dev,\n \tif (ret)\n \t\treturn -EIO;\n \n-\tether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n+\trte_ether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n \treturn 0;\n }\n \n@@ -1244,9 +1244,9 @@ avf_dev_init(struct rte_eth_dev *eth_dev)\n \t/* If the MAC address is not configured by host,\n \t * generate a random one.\n \t */\n-\tif (!is_valid_assigned_ether_addr((struct rte_ether_addr *)hw->mac.addr))\n-\t\teth_random_addr(hw->mac.addr);\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n+\tif (!rte_is_valid_assigned_ether_addr((struct rte_ether_addr *)hw->mac.addr))\n+\t\trte_eth_random_addr(hw->mac.addr);\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* register callback func to eal lib */\ndiff --git a/drivers/net/avf/avf_vchnl.c b/drivers/net/avf/avf_vchnl.c\nindex 6ccd7a1c9..32aa62e5e 100644\n--- a/drivers/net/avf/avf_vchnl.c\n+++ b/drivers/net/avf/avf_vchnl.c\n@@ -647,7 +647,7 @@ avf_add_del_all_mac_addr(struct avf_adapter *adapter, bool add)\n \t\tlen = sizeof(struct virtchnl_ether_addr_list);\n \t\tfor (i = begin; i < AVF_NUM_MACADDR_MAX; i++, next_begin++) {\n \t\t\taddr = &adapter->eth_dev->data->mac_addrs[i];\n-\t\t\tif (is_zero_ether_addr(addr))\n+\t\t\tif (rte_is_zero_ether_addr(addr))\n \t\t\t\tcontinue;\n \t\t\tlen += sizeof(struct virtchnl_ether_addr);\n \t\t\tif (len >= AVF_AQ_BUF_SZ) {\n@@ -664,7 +664,7 @@ avf_add_del_all_mac_addr(struct avf_adapter *adapter, bool add)\n \n \t\tfor (i = begin; i < next_begin; i++) {\n \t\t\taddr = &adapter->eth_dev->data->mac_addrs[i];\n-\t\t\tif (is_zero_ether_addr(addr))\n+\t\t\tif (rte_is_zero_ether_addr(addr))\n \t\t\t\tcontinue;\n \t\t\trte_memcpy(list->list[j].addr, addr->addr_bytes,\n \t\t\t\t   sizeof(addr->addr_bytes));\ndiff --git a/drivers/net/avp/avp_ethdev.c b/drivers/net/avp/avp_ethdev.c\nindex 834b1f3e1..4f563d14b 100644\n--- a/drivers/net/avp/avp_ethdev.c\n+++ b/drivers/net/avp/avp_ethdev.c\n@@ -1014,7 +1014,7 @@ eth_avp_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Get a mac from device config */\n-\tether_addr_copy(&avp->ethaddr, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(&avp->ethaddr, &eth_dev->data->mac_addrs[0]);\n \n \treturn 0;\n }\n@@ -1221,12 +1221,12 @@ _avp_mac_filter(struct avp_dev *avp, struct rte_mbuf *m)\n \t\treturn 0;\n \t}\n \n-\tif (likely(is_broadcast_ether_addr(&eth->d_addr))) {\n+\tif (likely(rte_is_broadcast_ether_addr(&eth->d_addr))) {\n \t\t/* allow all broadcast packets */\n \t\treturn 0;\n \t}\n \n-\tif (likely(is_multicast_ether_addr(&eth->d_addr))) {\n+\tif (likely(rte_is_multicast_ether_addr(&eth->d_addr))) {\n \t\t/* allow all multicast packets */\n \t\treturn 0;\n \t}\ndiff --git a/drivers/net/axgbe/axgbe_ethdev.c b/drivers/net/axgbe/axgbe_ethdev.c\nindex 4b84b50c0..c8ce67771 100644\n--- a/drivers/net/axgbe/axgbe_ethdev.c\n+++ b/drivers/net/axgbe/axgbe_ethdev.c\n@@ -634,11 +634,11 @@ eth_axgbe_dev_init(struct rte_eth_dev *eth_dev)\n \t\treturn -ENOMEM;\n \t}\n \n-\tif (!is_valid_assigned_ether_addr(&pdata->mac_addr))\n-\t\teth_random_addr(pdata->mac_addr.addr_bytes);\n+\tif (!rte_is_valid_assigned_ether_addr(&pdata->mac_addr))\n+\t\trte_eth_random_addr(pdata->mac_addr.addr_bytes);\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy(&pdata->mac_addr, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(&pdata->mac_addr, &eth_dev->data->mac_addrs[0]);\n \n \t/* Clock settings */\n \tpdata->sysclk_rate = AXGBE_V2_DMA_CLOCK_FREQ;\ndiff --git a/drivers/net/bnx2x/bnx2x.c b/drivers/net/bnx2x/bnx2x.c\nindex f3e235534..3088e27e6 100644\n--- a/drivers/net/bnx2x/bnx2x.c\n+++ b/drivers/net/bnx2x/bnx2x.c\n@@ -2185,8 +2185,8 @@ int bnx2x_tx_encap(struct bnx2x_tx_queue *txq, struct rte_mbuf *m0)\n \n \t\ttx_parse_bd =\n \t\t    &txq->tx_ring[TX_BD(bd_prod, txq)].parse_bd_e2;\n-\t\tif (is_multicast_ether_addr(&eh->d_addr)) {\n-\t\t\tif (is_broadcast_ether_addr(&eh->d_addr))\n+\t\tif (rte_is_multicast_ether_addr(&eh->d_addr)) {\n+\t\t\tif (rte_is_broadcast_ether_addr(&eh->d_addr))\n \t\t\t\tmac_type = BROADCAST_ADDRESS;\n \t\t\telse\n \t\t\t\tmac_type = MULTICAST_ADDRESS;\ndiff --git a/drivers/net/bnx2x/bnx2x_vfpf.c b/drivers/net/bnx2x/bnx2x_vfpf.c\nindex a044e6eac..67e3b54b1 100644\n--- a/drivers/net/bnx2x/bnx2x_vfpf.c\n+++ b/drivers/net/bnx2x/bnx2x_vfpf.c\n@@ -300,11 +300,11 @@ int bnx2x_vf_get_resources(struct bnx2x_softc *sc, uint8_t tx_count, uint8_t rx_\n \t\tsc->igu_sb_cnt, sc->igu_base_sb);\n \tstrncpy(sc->fw_ver, sc_resp.fw_ver, sizeof(sc->fw_ver));\n \n-\tif (is_valid_assigned_ether_addr(&sc_resp.resc.current_mac_addr))\n-\t\tether_addr_copy(&sc_resp.resc.current_mac_addr,\n+\tif (rte_is_valid_assigned_ether_addr(&sc_resp.resc.current_mac_addr))\n+\t\trte_ether_addr_copy(&sc_resp.resc.current_mac_addr,\n \t\t\t\t(struct rte_ether_addr *)sc->link_params.mac_addr);\n \telse\n-\t\teth_random_addr(sc->link_params.mac_addr);\n+\t\trte_eth_random_addr(sc->link_params.mac_addr);\n \n out:\n \tbnx2x_vf_finalize(sc, &acq->first_tlv);\ndiff --git a/drivers/net/bnxt/bnxt_flow.c b/drivers/net/bnxt/bnxt_flow.c\nindex 1afe67407..a1f527d4f 100644\n--- a/drivers/net/bnxt/bnxt_flow.c\n+++ b/drivers/net/bnxt/bnxt_flow.c\n@@ -187,10 +187,10 @@ bnxt_validate_and_parse_flow_type(struct bnxt *bp,\n \t\t\t * Destination MAC address mask must not be partially\n \t\t\t * set. Should be all 1's or all 0's.\n \t\t\t */\n-\t\t\tif ((!is_zero_ether_addr(&eth_mask->src) &&\n-\t\t\t     !is_broadcast_ether_addr(&eth_mask->src)) ||\n-\t\t\t    (!is_zero_ether_addr(&eth_mask->dst) &&\n-\t\t\t     !is_broadcast_ether_addr(&eth_mask->dst))) {\n+\t\t\tif ((!rte_is_zero_ether_addr(&eth_mask->src) &&\n+\t\t\t     !rte_is_broadcast_ether_addr(&eth_mask->src)) ||\n+\t\t\t    (!rte_is_zero_ether_addr(&eth_mask->dst) &&\n+\t\t\t     !rte_is_broadcast_ether_addr(&eth_mask->dst))) {\n \t\t\t\trte_flow_error_set(error,\n \t\t\t\t\t\t   EINVAL,\n \t\t\t\t\t\t   RTE_FLOW_ERROR_TYPE_ITEM,\n@@ -209,7 +209,7 @@ bnxt_validate_and_parse_flow_type(struct bnxt *bp,\n \t\t\t\treturn -rte_errno;\n \t\t\t}\n \n-\t\t\tif (is_broadcast_ether_addr(&eth_mask->dst)) {\n+\t\t\tif (rte_is_broadcast_ether_addr(&eth_mask->dst)) {\n \t\t\t\trte_memcpy(filter->dst_macaddr,\n \t\t\t\t\t   &eth_spec->dst, 6);\n \t\t\t\ten |= use_ntuple ?\n@@ -217,7 +217,7 @@ bnxt_validate_and_parse_flow_type(struct bnxt *bp,\n \t\t\t\t\tEM_FLOW_ALLOC_INPUT_EN_DST_MACADDR;\n \t\t\t}\n \n-\t\t\tif (is_broadcast_ether_addr(&eth_mask->src)) {\n+\t\t\tif (rte_is_broadcast_ether_addr(&eth_mask->src)) {\n \t\t\t\trte_memcpy(filter->src_macaddr,\n \t\t\t\t\t   &eth_spec->src, 6);\n \t\t\t\ten |= use_ntuple ?\ndiff --git a/drivers/net/bnxt/bnxt_hwrm.c b/drivers/net/bnxt/bnxt_hwrm.c\nindex b6f76128f..7903ac74e 100644\n--- a/drivers/net/bnxt/bnxt_hwrm.c\n+++ b/drivers/net/bnxt/bnxt_hwrm.c\n@@ -2586,7 +2586,7 @@ static void add_random_mac_if_needed(struct bnxt *bp,\n \tif (memcmp(mac.addr_bytes, \"\\x00\\x00\\x00\\x00\\x00\", 6) == 0) {\n \t\tcfg_req->enables |=\n \t\trte_cpu_to_le_32(HWRM_FUNC_CFG_INPUT_ENABLES_DFLT_MAC_ADDR);\n-\t\teth_random_addr(cfg_req->dflt_mac_addr);\n+\t\trte_eth_random_addr(cfg_req->dflt_mac_addr);\n \t\tbp->pf.vf_info[vf].random_mac = true;\n \t} else {\n \t\tmemcpy(cfg_req->dflt_mac_addr, mac.addr_bytes, ETHER_ADDR_LEN);\ndiff --git a/drivers/net/bonding/rte_eth_bond_8023ad.c b/drivers/net/bonding/rte_eth_bond_8023ad.c\nindex 608d754a4..f9ab6959b 100644\n--- a/drivers/net/bonding/rte_eth_bond_8023ad.c\n+++ b/drivers/net/bonding/rte_eth_bond_8023ad.c\n@@ -322,7 +322,7 @@ rx_machine(struct bond_dev_private *internals, uint16_t slave_id,\n \t\tagg = &mode_8023ad_ports[port->aggregator_port_id];\n \t\tbool match = port->actor.system_priority ==\n \t\t\tlacp->partner.port_params.system_priority &&\n-\t\t\tis_same_ether_addr(&agg->actor.system,\n+\t\t\trte_is_same_ether_addr(&agg->actor.system,\n \t\t\t&lacp->partner.port_params.system) &&\n \t\t\tport->actor.port_priority ==\n \t\t\tlacp->partner.port_params.port_priority &&\n@@ -575,7 +575,7 @@ tx_machine(struct bond_dev_private *internals, uint16_t slave_id)\n \thdr = rte_pktmbuf_mtod(lacp_pkt, struct lacpdu_header *);\n \n \t/* Source and destination MAC */\n-\tether_addr_copy(&lacp_mac_addr, &hdr->eth_hdr.d_addr);\n+\trte_ether_addr_copy(&lacp_mac_addr, &hdr->eth_hdr.d_addr);\n \trte_eth_macaddr_get(slave_id, &hdr->eth_hdr.s_addr);\n \thdr->eth_hdr.ether_type = rte_cpu_to_be_16(ETHER_TYPE_SLOW);\n \n@@ -592,7 +592,7 @@ tx_machine(struct bond_dev_private *internals, uint16_t slave_id)\n \tmemcpy(&hdr->lacpdu.actor.port_params, &port->actor,\n \t\t\tsizeof(port->actor));\n \tagg = &mode_8023ad_ports[port->aggregator_port_id];\n-\tether_addr_copy(&agg->actor.system, &hdr->lacpdu.actor.port_params.system);\n+\trte_ether_addr_copy(&agg->actor.system, &hdr->lacpdu.actor.port_params.system);\n \tlacpdu->actor.state = port->actor_state;\n \n \t/* PARTNER */\n@@ -694,9 +694,9 @@ selection_logic(struct bond_dev_private *internals, uint8_t slave_id)\n \t\t * ID (MAC address). */\n \t\tif ((agg->actor.key == port->actor.key &&\n \t\t\tagg->partner.system_priority == port->partner.system_priority &&\n-\t\t\tis_same_ether_addr(&agg->partner.system, &port->partner.system) == 1\n+\t\t\trte_is_same_ether_addr(&agg->partner.system, &port->partner.system) == 1\n \t\t\t&& (agg->partner.key == port->partner.key)) &&\n-\t\t\tis_zero_ether_addr(&port->partner.system) != 1 &&\n+\t\t\trte_is_zero_ether_addr(&port->partner.system) != 1 &&\n \t\t\t(agg->actor.key &\n \t\t\t\trte_cpu_to_be_16(BOND_LINK_FULL_DUPLEX_KEY)) != 0) {\n \n@@ -835,8 +835,8 @@ bond_mode_8023ad_periodic_cb(void *arg)\n \t\t\tSM_FLAG_SET(port, NTT);\n \t\t}\n \n-\t\tif (!is_same_ether_addr(&port->actor.system, &slave_addr)) {\n-\t\t\tether_addr_copy(&slave_addr, &port->actor.system);\n+\t\tif (!rte_is_same_ether_addr(&port->actor.system, &slave_addr)) {\n+\t\t\trte_ether_addr_copy(&slave_addr, &port->actor.system);\n \t\t\tif (port->aggregator_port_id == slave_id)\n \t\t\t\tSM_FLAG_SET(port, NTT);\n \t\t}\n@@ -1048,10 +1048,10 @@ bond_mode_8023ad_mac_address_update(struct rte_eth_dev *bond_dev)\n \t\tslave = &mode_8023ad_ports[slave_id];\n \t\trte_eth_macaddr_get(slave_id, &slave_addr);\n \n-\t\tif (is_same_ether_addr(&slave_addr, &slave->actor.system))\n+\t\tif (rte_is_same_ether_addr(&slave_addr, &slave->actor.system))\n \t\t\tcontinue;\n \n-\t\tether_addr_copy(&slave_addr, &slave->actor.system);\n+\t\trte_ether_addr_copy(&slave_addr, &slave->actor.system);\n \t\t/* Do nothing if this port is not an aggregator. In other case\n \t\t * Set NTT flag on every port that use this aggregator. */\n \t\tif (slave->aggregator_port_id != slave_id)\ndiff --git a/drivers/net/bonding/rte_eth_bond_alb.c b/drivers/net/bonding/rte_eth_bond_alb.c\nindex 24b2b505a..446677fae 100644\n--- a/drivers/net/bonding/rte_eth_bond_alb.c\n+++ b/drivers/net/bonding/rte_eth_bond_alb.c\n@@ -101,17 +101,17 @@ void bond_mode_alb_arp_recv(struct rte_ether_hdr *eth_h, uint16_t offset,\n \tif (client_info->in_use == 0 ||\n \t\t\tclient_info->app_ip != arp->arp_data.arp_tip ||\n \t\t\tclient_info->cli_ip != arp->arp_data.arp_sip ||\n-\t\t\t!is_same_ether_addr(&client_info->cli_mac, &arp->arp_data.arp_sha) ||\n+\t\t\t!rte_is_same_ether_addr(&client_info->cli_mac, &arp->arp_data.arp_sha) ||\n \t\t\tclient_info->vlan_count != offset / sizeof(struct rte_vlan_hdr) ||\n \t\t\tmemcmp(client_info->vlan, eth_h + 1, offset) != 0\n \t) {\n \t\tclient_info->in_use = 1;\n \t\tclient_info->app_ip = arp->arp_data.arp_tip;\n \t\tclient_info->cli_ip = arp->arp_data.arp_sip;\n-\t\tether_addr_copy(&arp->arp_data.arp_sha, &client_info->cli_mac);\n+\t\trte_ether_addr_copy(&arp->arp_data.arp_sha, &client_info->cli_mac);\n \t\tclient_info->slave_idx = calculate_slave(internals);\n \t\trte_eth_macaddr_get(client_info->slave_idx, &client_info->app_mac);\n-\t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_tha);\n+\t\trte_ether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_tha);\n \t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n \t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t}\n@@ -139,7 +139,7 @@ bond_mode_alb_arp_xmit(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t * current primary port.\n \t */\n \trte_eth_macaddr_get(internals->port_id, &bonding_mac);\n-\tif (!is_same_ether_addr(&bonding_mac, &arp->arp_data.arp_sha)) {\n+\tif (!rte_is_same_ether_addr(&bonding_mac, &arp->arp_data.arp_sha)) {\n \t\trte_eth_macaddr_get(internals->current_primary_port,\n \t\t\t\t&arp->arp_data.arp_sha);\n \t\treturn internals->current_primary_port;\n@@ -155,13 +155,13 @@ bond_mode_alb_arp_xmit(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t\t\tif (client_info->app_ip == arp->arp_data.arp_sip &&\n \t\t\t\tclient_info->cli_ip == arp->arp_data.arp_tip) {\n \t\t\t\t/* Entry is already assigned to this client */\n-\t\t\t\tif (!is_broadcast_ether_addr(&arp->arp_data.arp_tha)) {\n-\t\t\t\t\tether_addr_copy(&arp->arp_data.arp_tha,\n+\t\t\t\tif (!rte_is_broadcast_ether_addr(&arp->arp_data.arp_tha)) {\n+\t\t\t\t\trte_ether_addr_copy(&arp->arp_data.arp_tha,\n \t\t\t\t\t\t\t&client_info->cli_mac);\n \t\t\t\t}\n \t\t\t\trte_eth_macaddr_get(client_info->slave_idx,\n \t\t\t\t\t\t&client_info->app_mac);\n-\t\t\t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n+\t\t\t\trte_ether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n \t\t\t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n \t\t\t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t\t\t\trte_spinlock_unlock(&internals->mode6.lock);\n@@ -173,11 +173,11 @@ bond_mode_alb_arp_xmit(struct rte_ether_hdr *eth_h, uint16_t offset,\n \t\tclient_info->in_use = 1;\n \t\tclient_info->ntt = 0;\n \t\tclient_info->app_ip = arp->arp_data.arp_sip;\n-\t\tether_addr_copy(&arp->arp_data.arp_tha, &client_info->cli_mac);\n+\t\trte_ether_addr_copy(&arp->arp_data.arp_tha, &client_info->cli_mac);\n \t\tclient_info->cli_ip = arp->arp_data.arp_tip;\n \t\tclient_info->slave_idx = calculate_slave(internals);\n \t\trte_eth_macaddr_get(client_info->slave_idx, &client_info->app_mac);\n-\t\tether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n+\t\trte_ether_addr_copy(&client_info->app_mac, &arp->arp_data.arp_sha);\n \t\tmemcpy(client_info->vlan, eth_h + 1, offset);\n \t\tclient_info->vlan_count = offset / sizeof(struct rte_vlan_hdr);\n \t\trte_spinlock_unlock(&internals->mode6.lock);\n@@ -202,8 +202,8 @@ bond_mode_alb_arp_upd(struct client_data *client_info,\n \trte_spinlock_lock(&internals->mode6.lock);\n \teth_h = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n-\tether_addr_copy(&client_info->app_mac, &eth_h->s_addr);\n-\tether_addr_copy(&client_info->cli_mac, &eth_h->d_addr);\n+\trte_ether_addr_copy(&client_info->app_mac, &eth_h->s_addr);\n+\trte_ether_addr_copy(&client_info->cli_mac, &eth_h->d_addr);\n \tif (client_info->vlan_count > 0)\n \t\teth_h->ether_type = rte_cpu_to_be_16(ETHER_TYPE_VLAN);\n \telse\n@@ -215,9 +215,9 @@ bond_mode_alb_arp_upd(struct client_data *client_info,\n \tmemcpy(eth_h + 1, client_info->vlan,\n \t\t\tclient_info->vlan_count * sizeof(struct rte_vlan_hdr));\n \n-\tether_addr_copy(&client_info->app_mac, &arp_h->arp_data.arp_sha);\n+\trte_ether_addr_copy(&client_info->app_mac, &arp_h->arp_data.arp_sha);\n \tarp_h->arp_data.arp_sip = client_info->app_ip;\n-\tether_addr_copy(&client_info->cli_mac, &arp_h->arp_data.arp_tha);\n+\trte_ether_addr_copy(&client_info->cli_mac, &arp_h->arp_data.arp_tha);\n \tarp_h->arp_data.arp_tip = client_info->cli_ip;\n \n \tarp_h->arp_hardware = rte_cpu_to_be_16(RTE_ARP_HRD_ETHER);\ndiff --git a/drivers/net/bonding/rte_eth_bond_pmd.c b/drivers/net/bonding/rte_eth_bond_pmd.c\nindex 2eda2f79f..77317362d 100644\n--- a/drivers/net/bonding/rte_eth_bond_pmd.c\n+++ b/drivers/net/bonding/rte_eth_bond_pmd.c\n@@ -450,8 +450,8 @@ bond_ethdev_rx_burst_8023ad(void *queue, struct rte_mbuf **bufs,\n \t\t\t * mode and packet address does not match. */\n \t\t\tif (unlikely(is_lacp_packets(hdr->ether_type, subtype, bufs[j]) ||\n \t\t\t\t!collecting || (!promisc &&\n-\t\t\t\t\t!is_multicast_ether_addr(&hdr->d_addr) &&\n-\t\t\t\t\t!is_same_ether_addr(&bond_mac, &hdr->d_addr)))) {\n+\t\t\t\t\t!rte_is_multicast_ether_addr(&hdr->d_addr) &&\n+\t\t\t\t\t!rte_is_same_ether_addr(&bond_mac, &hdr->d_addr)))) {\n \n \t\t\t\tif (hdr->ether_type == ether_type_slow_be) {\n \t\t\t\t\tbond_mode_8023ad_handle_slow_pkt(\n@@ -1012,7 +1012,7 @@ bond_ethdev_tx_burst_tlb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \t\t\t\tsizeof(internals->tlb_slaves_order[0]) * num_of_slaves);\n \n \n-\tether_addr_copy(primary_port->data->mac_addrs, &primary_slave_addr);\n+\trte_ether_addr_copy(primary_port->data->mac_addrs, &primary_slave_addr);\n \n \tif (nb_pkts > 3) {\n \t\tfor (i = 0; i < 3; i++)\n@@ -1026,8 +1026,8 @@ bond_ethdev_tx_burst_tlb(void *queue, struct rte_mbuf **bufs, uint16_t nb_pkts)\n \t\t\t\trte_prefetch0(rte_pktmbuf_mtod(bufs[j+3], void*));\n \n \t\t\tether_hdr = rte_pktmbuf_mtod(bufs[j], struct rte_ether_hdr *);\n-\t\t\tif (is_same_ether_addr(&ether_hdr->s_addr, &primary_slave_addr))\n-\t\t\t\tether_addr_copy(&active_slave_addr, &ether_hdr->s_addr);\n+\t\t\tif (rte_is_same_ether_addr(&ether_hdr->s_addr, &primary_slave_addr))\n+\t\t\t\trte_ether_addr_copy(&active_slave_addr, &ether_hdr->s_addr);\n #if defined(RTE_LIBRTE_BOND_DEBUG_ALB) || defined(RTE_LIBRTE_BOND_DEBUG_ALB_L1)\n \t\t\t\t\tmode6_debug(\"TX IPv4:\", ether_hdr, slaves[i], &burstnumberTX);\n #endif\n@@ -1507,7 +1507,7 @@ mac_address_get(struct rte_eth_dev *eth_dev, struct rte_ether_addr *dst_mac_addr\n \n \tmac_addr = eth_dev->data->mac_addrs;\n \n-\tether_addr_copy(mac_addr, dst_mac_addr);\n+\trte_ether_addr_copy(mac_addr, dst_mac_addr);\n \treturn 0;\n }\n \n@@ -1549,7 +1549,7 @@ slave_add_mac_addresses(struct rte_eth_dev *bonded_eth_dev,\n \n \tfor (i = 1; i < BOND_MAX_MAC_ADDRS; i++) {\n \t\tmac_addr = &bonded_eth_dev->data->mac_addrs[i];\n-\t\tif (is_same_ether_addr(mac_addr, &null_mac_addr))\n+\t\tif (rte_is_same_ether_addr(mac_addr, &null_mac_addr))\n \t\t\tbreak;\n \n \t\tret = rte_eth_dev_mac_addr_add(slave_port_id, mac_addr, 0);\n@@ -1578,7 +1578,7 @@ slave_remove_mac_addresses(struct rte_eth_dev *bonded_eth_dev,\n \trc = 0;\n \tfor (i = 1; i < BOND_MAX_MAC_ADDRS; i++) {\n \t\tmac_addr = &bonded_eth_dev->data->mac_addrs[i];\n-\t\tif (is_same_ether_addr(mac_addr, &null_mac_addr))\n+\t\tif (rte_is_same_ether_addr(mac_addr, &null_mac_addr))\n \t\t\tbreak;\n \n \t\tret = rte_eth_dev_mac_addr_remove(slave_port_id, mac_addr);\ndiff --git a/drivers/net/cxgbe/base/adapter.h b/drivers/net/cxgbe/base/adapter.h\nindex fc0af49cf..7c43e12d1 100644\n--- a/drivers/net/cxgbe/base/adapter.h\n+++ b/drivers/net/cxgbe/base/adapter.h\n@@ -671,7 +671,7 @@ static inline void t4_os_set_hw_addr(struct adapter *adapter, int port_idx,\n {\n \tstruct port_info *pi = adap2pinfo(adapter, port_idx);\n \n-\tether_addr_copy((struct rte_ether_addr *)hw_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw_addr,\n \t\t\t&pi->eth_dev->data->mac_addrs[0]);\n }\n \ndiff --git a/drivers/net/cxgbe/cxgbe_flow.c b/drivers/net/cxgbe/cxgbe_flow.c\nindex ccd165b56..13de577c6 100644\n--- a/drivers/net/cxgbe/cxgbe_flow.c\n+++ b/drivers/net/cxgbe/cxgbe_flow.c\n@@ -117,12 +117,12 @@ ch_rte_parsetype_eth(const void *dmask, const struct rte_flow_item *item,\n \tmask = umask ? umask : (const struct rte_flow_item_eth *)dmask;\n \n \t/* we don't support SRC_MAC filtering*/\n-\tif (!is_zero_ether_addr(&mask->src))\n+\tif (!rte_is_zero_ether_addr(&mask->src))\n \t\treturn rte_flow_error_set(e, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,\n \t\t\t\t\t  item,\n \t\t\t\t\t  \"src mac filtering not supported\");\n \n-\tif (!is_zero_ether_addr(&mask->dst)) {\n+\tif (!rte_is_zero_ether_addr(&mask->dst)) {\n \t\tconst u8 *addr = (const u8 *)&spec->dst.addr_bytes[0];\n \t\tconst u8 *m = (const u8 *)&mask->dst.addr_bytes[0];\n \t\tstruct rte_flow *flow = (struct rte_flow *)fs->private;\ndiff --git a/drivers/net/dpaa/dpaa_ethdev.c b/drivers/net/dpaa/dpaa_ethdev.c\nindex 9aaabf493..704bbf060 100644\n--- a/drivers/net/dpaa/dpaa_ethdev.c\n+++ b/drivers/net/dpaa/dpaa_ethdev.c\n@@ -1360,7 +1360,7 @@ dpaa_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* copy the primary mac address */\n-\tether_addr_copy(&fman_intf->mac_addr, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(&fman_intf->mac_addr, &eth_dev->data->mac_addrs[0]);\n \n \tRTE_LOG(INFO, PMD, \"net: dpaa: %s: %02x:%02x:%02x:%02x:%02x:%02x\\n\",\n \t\tdpaa_device->name,\ndiff --git a/drivers/net/dpaa2/dpaa2_ethdev.c b/drivers/net/dpaa2/dpaa2_ethdev.c\nindex dcfc1a964..244099afc 100644\n--- a/drivers/net/dpaa2/dpaa2_ethdev.c\n+++ b/drivers/net/dpaa2/dpaa2_ethdev.c\n@@ -1872,9 +1872,9 @@ populate_mac_addr(struct fsl_mc_io *dpni_dev, struct dpaa2_dev_priv *priv,\n \t *  If empty_mac(phy), return prime.\n \t *  if both are empty, create random MAC, set as prime and return\n \t */\n-\tif (!is_zero_ether_addr(&phy_mac)) {\n+\tif (!rte_is_zero_ether_addr(&phy_mac)) {\n \t\t/* If the addresses are not same, overwrite prime */\n-\t\tif (!is_same_ether_addr(&phy_mac, &prime_mac)) {\n+\t\tif (!rte_is_same_ether_addr(&phy_mac, &prime_mac)) {\n \t\t\tret = dpni_set_primary_mac_addr(dpni_dev, CMD_PRI_LOW,\n \t\t\t\t\t\t\tpriv->token,\n \t\t\t\t\t\t\tphy_mac.addr_bytes);\n@@ -1885,9 +1885,9 @@ populate_mac_addr(struct fsl_mc_io *dpni_dev, struct dpaa2_dev_priv *priv,\n \t\t\t}\n \t\t\tmemcpy(&prime_mac, &phy_mac, sizeof(struct rte_ether_addr));\n \t\t}\n-\t} else if (is_zero_ether_addr(&prime_mac)) {\n+\t} else if (rte_is_zero_ether_addr(&prime_mac)) {\n \t\t/* In case phys and prime, both are zero, create random MAC */\n-\t\teth_random_addr(prime_mac.addr_bytes);\n+\t\trte_eth_random_addr(prime_mac.addr_bytes);\n \t\tret = dpni_set_primary_mac_addr(dpni_dev, CMD_PRI_LOW,\n \t\t\t\t\t\tpriv->token,\n \t\t\t\t\t\tprime_mac.addr_bytes);\ndiff --git a/drivers/net/e1000/em_ethdev.c b/drivers/net/e1000/em_ethdev.c\nindex b4bb13572..4d8615325 100644\n--- a/drivers/net/e1000/em_ethdev.c\n+++ b/drivers/net/e1000/em_ethdev.c\n@@ -293,7 +293,7 @@ eth_em_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *) hw->mac.addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->mac.addr,\n \t\teth_dev->data->mac_addrs);\n \n \t/* initialize the vfta */\ndiff --git a/drivers/net/e1000/igb_ethdev.c b/drivers/net/e1000/igb_ethdev.c\nindex 210e5c3bd..762184665 100644\n--- a/drivers/net/e1000/igb_ethdev.c\n+++ b/drivers/net/e1000/igb_ethdev.c\n@@ -819,7 +819,7 @@ eth_igb_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac.addr, &eth_dev->data->mac_addrs[0]);\n \n \t/* initialize the vfta */\n \tmemset(shadow_vfta, 0, sizeof(*shadow_vfta));\n@@ -1019,8 +1019,8 @@ eth_igbvf_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Generate a random MAC address, if none was assigned by PF. */\n-\tif (is_zero_ether_addr(perm_addr)) {\n-\t\teth_random_addr(perm_addr->addr_bytes);\n+\tif (rte_is_zero_ether_addr(perm_addr)) {\n+\t\trte_eth_random_addr(perm_addr->addr_bytes);\n \t\tPMD_INIT_LOG(INFO, \"\\tVF MAC address not assigned by Host PF\");\n \t\tPMD_INIT_LOG(INFO, \"\\tAssign randomly generated MAC address \"\n \t\t\t     \"%02x:%02x:%02x:%02x:%02x:%02x\",\n@@ -1039,7 +1039,7 @@ eth_igbvf_dev_init(struct rte_eth_dev *eth_dev)\n \t\treturn diag;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *) hw->mac.perm_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->mac.perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tPMD_INIT_LOG(DEBUG, \"port %d vendorID=0x%x deviceID=0x%x \"\ndiff --git a/drivers/net/e1000/igb_flow.c b/drivers/net/e1000/igb_flow.c\nindex 33683498a..8dcfc71c5 100644\n--- a/drivers/net/e1000/igb_flow.c\n+++ b/drivers/net/e1000/igb_flow.c\n@@ -548,9 +548,9 @@ cons_parse_ethertype_filter(const struct rte_flow_attr *attr,\n \t * Mask bits of destination MAC address must be full\n \t * of 1 or full of 0.\n \t */\n-\tif (!is_zero_ether_addr(&eth_mask->src) ||\n-\t    (!is_zero_ether_addr(&eth_mask->dst) &&\n-\t     !is_broadcast_ether_addr(&eth_mask->dst))) {\n+\tif (!rte_is_zero_ether_addr(&eth_mask->src) ||\n+\t    (!rte_is_zero_ether_addr(&eth_mask->dst) &&\n+\t     !rte_is_broadcast_ether_addr(&eth_mask->dst))) {\n \t\trte_flow_error_set(error, EINVAL,\n \t\t\t\tRTE_FLOW_ERROR_TYPE_ITEM,\n \t\t\t\titem, \"Invalid ether address mask\");\n@@ -567,7 +567,7 @@ cons_parse_ethertype_filter(const struct rte_flow_attr *attr,\n \t/* If mask bits of destination MAC address\n \t * are full of 1, set RTE_ETHTYPE_FLAGS_MAC.\n \t */\n-\tif (is_broadcast_ether_addr(&eth_mask->dst)) {\n+\tif (rte_is_broadcast_ether_addr(&eth_mask->dst)) {\n \t\tfilter->mac_addr = eth_spec->dst;\n \t\tfilter->flags |= RTE_ETHTYPE_FLAGS_MAC;\n \t} else {\ndiff --git a/drivers/net/e1000/igb_pf.c b/drivers/net/e1000/igb_pf.c\nindex 9f1521350..ab48a269f 100644\n--- a/drivers/net/e1000/igb_pf.c\n+++ b/drivers/net/e1000/igb_pf.c\n@@ -43,7 +43,7 @@ int igb_vf_perm_addr_gen(struct rte_eth_dev *dev, uint16_t vf_num)\n \tuint16_t vfn;\n \n \tfor (vfn = 0; vfn < vf_num; vfn++) {\n-\t\teth_random_addr(vf_mac_addr);\n+\t\trte_eth_random_addr(vf_mac_addr);\n \t\t/* keep the random address as default */\n \t\tmemcpy(vfinfo[vfn].vf_mac_addresses, vf_mac_addr,\n \t\t\t\tETHER_ADDR_LEN);\n@@ -306,8 +306,8 @@ igb_vf_set_mac_addr(struct rte_eth_dev *dev, uint32_t vf, uint32_t *msgbuf)\n \tuint8_t *new_mac = (uint8_t *)(&msgbuf[1]);\n \tint rah;\n \n-\tif (is_unicast_ether_addr((struct rte_ether_addr *)new_mac)) {\n-\t\tif (!is_zero_ether_addr((struct rte_ether_addr *)new_mac))\n+\tif (rte_is_unicast_ether_addr((struct rte_ether_addr *)new_mac)) {\n+\t\tif (!rte_is_zero_ether_addr((struct rte_ether_addr *)new_mac))\n \t\t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac,\n \t\t\t\tsizeof(vfinfo[vf].vf_mac_addresses));\n \t\thw->mac.ops.rar_set(hw, new_mac, rar_entry);\ndiff --git a/drivers/net/ena/ena_ethdev.c b/drivers/net/ena/ena_ethdev.c\nindex 165ff52e5..7bf8dd44c 100644\n--- a/drivers/net/ena/ena_ethdev.c\n+++ b/drivers/net/ena/ena_ethdev.c\n@@ -1656,7 +1656,7 @@ static int eth_ena_dev_init(struct rte_eth_dev *eth_dev)\n \n \t/* Copy MAC address and point DPDK to it */\n \teth_dev->data->mac_addrs = (struct rte_ether_addr *)adapter->mac_addr;\n-\tether_addr_copy((struct rte_ether_addr *)get_feat_ctx.dev_attr.mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)get_feat_ctx.dev_attr.mac_addr,\n \t\t\t(struct rte_ether_addr *)adapter->mac_addr);\n \n \tadapter->drv_stats = rte_zmalloc(\"adapter stats\",\ndiff --git a/drivers/net/enetc/enetc_ethdev.c b/drivers/net/enetc/enetc_ethdev.c\nindex 1541368bc..8b2b47365 100644\n--- a/drivers/net/enetc/enetc_ethdev.c\n+++ b/drivers/net/enetc/enetc_ethdev.c\n@@ -98,7 +98,7 @@ enetc_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tENETC_PMD_DEBUG(\"port_id %d vendorID=0x%x deviceID=0x%x\",\ndiff --git a/drivers/net/enic/enic_main.c b/drivers/net/enic/enic_main.c\nindex e362219a5..f3eec251e 100644\n--- a/drivers/net/enic/enic_main.c\n+++ b/drivers/net/enic/enic_main.c\n@@ -1675,7 +1675,7 @@ static int enic_dev_init(struct enic *enic)\n \t\tdev_err(enic, \"mac addr storage alloc failed, aborting.\\n\");\n \t\treturn -1;\n \t}\n-\tether_addr_copy((struct rte_ether_addr *) enic->mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) enic->mac_addr,\n \t\t\teth_dev->data->mac_addrs);\n \n \tvnic_dev_set_reset_flag(enic->vdev, 0);\ndiff --git a/drivers/net/failsafe/failsafe.c b/drivers/net/failsafe/failsafe.c\nindex 495f536c7..d1fcb5f21 100644\n--- a/drivers/net/failsafe/failsafe.c\n+++ b/drivers/net/failsafe/failsafe.c\n@@ -245,7 +245,7 @@ fs_eth_dev_create(struct rte_vdev_device *vdev)\n \t\t */\n \t\tFOREACH_SUBDEV(sdev, i, dev)\n \t\t\tif (sdev->state >= DEV_PROBED) {\n-\t\t\t\tether_addr_copy(&ETH(sdev)->data->mac_addrs[0],\n+\t\t\t\trte_ether_addr_copy(&ETH(sdev)->data->mac_addrs[0],\n \t\t\t\t\t\tmac);\n \t\t\t\tbreak;\n \t\t\t}\n@@ -257,7 +257,7 @@ fs_eth_dev_create(struct rte_vdev_device *vdev)\n \t\t * probed slaves.\n \t\t */\n \t\tif (i == priv->subs_tail)\n-\t\t\teth_random_addr(&mac->addr_bytes[0]);\n+\t\t\trte_eth_random_addr(&mac->addr_bytes[0]);\n \t}\n \tINFO(\"MAC address is %02x:%02x:%02x:%02x:%02x:%02x\",\n \t\tmac->addr_bytes[0], mac->addr_bytes[1],\ndiff --git a/drivers/net/failsafe/failsafe_ether.c b/drivers/net/failsafe/failsafe_ether.c\nindex a7f2b0e17..ff39ece18 100644\n--- a/drivers/net/failsafe/failsafe_ether.c\n+++ b/drivers/net/failsafe/failsafe_ether.c\n@@ -174,7 +174,7 @@ fs_eth_dev_conf_apply(struct rte_eth_dev *dev,\n \t\tif (ret) {\n \t\t\tchar ea_fmt[ETHER_ADDR_FMT_SIZE];\n \n-\t\t\tether_format_addr(ea_fmt, ETHER_ADDR_FMT_SIZE, ea);\n+\t\t\trte_ether_format_addr(ea_fmt, ETHER_ADDR_FMT_SIZE, ea);\n \t\t\tERROR(\"Adding MAC address %s failed\", ea_fmt);\n \t\t\treturn ret;\n \t\t}\ndiff --git a/drivers/net/fm10k/fm10k_ethdev.c b/drivers/net/fm10k/fm10k_ethdev.c\nindex eb3e13061..e1bff3952 100644\n--- a/drivers/net/fm10k/fm10k_ethdev.c\n+++ b/drivers/net/fm10k/fm10k_ethdev.c\n@@ -619,7 +619,7 @@ fm10k_dev_mq_rx_configure(struct rte_eth_dev *dev)\n \t/* reset MAC/VLAN as it's based on VMDQ or PF main VSI */\n \tmemset(dev->data->mac_addrs, 0,\n \t\tETHER_ADDR_LEN * FM10K_MAX_MACADDR_NUM);\n-\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n+\trte_ether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t&dev->data->mac_addrs[0]);\n \tmemset(macvlan, 0, sizeof(*macvlan));\n \tmacvlan->nb_queue_pools = nb_queue_pools;\n@@ -1530,7 +1530,7 @@ fm10k_vlan_filter_set(struct rte_eth_dev *dev, uint16_t vlan_id, int on)\n \n \tfor (mac_index = 0; (mac_index < FM10K_MAX_MACADDR_NUM) &&\n \t\t\t(result == FM10K_SUCCESS); mac_index++) {\n-\t\tif (is_zero_ether_addr(&data->mac_addrs[mac_index]))\n+\t\tif (rte_is_zero_ether_addr(&data->mac_addrs[mac_index]))\n \t\t\tcontinue;\n \t\tif (mac_num > macvlan->mac_num - 1) {\n \t\t\tPMD_INIT_LOG(ERR, \"MAC address number \"\n@@ -3084,16 +3084,16 @@ eth_fm10k_dev_init(struct rte_eth_dev *dev)\n \n \tdiag = fm10k_read_mac_addr(hw);\n \n-\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n+\trte_ether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&dev->data->mac_addrs[0]);\n \n \tif (diag != FM10K_SUCCESS ||\n-\t\t!is_valid_assigned_ether_addr(dev->data->mac_addrs)) {\n+\t\t!rte_is_valid_assigned_ether_addr(dev->data->mac_addrs)) {\n \n \t\t/* Generate a random addr */\n-\t\teth_random_addr(hw->mac.addr);\n+\t\trte_eth_random_addr(hw->mac.addr);\n \t\tmemcpy(hw->mac.perm_addr, hw->mac.addr, ETH_ALEN);\n-\t\tether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n+\t\trte_ether_addr_copy((const struct rte_ether_addr *)hw->mac.addr,\n \t\t&dev->data->mac_addrs[0]);\n \t}\n \ndiff --git a/drivers/net/i40e/i40e_ethdev.c b/drivers/net/i40e/i40e_ethdev.c\nindex fa2e39aa2..895b3d6fb 100644\n--- a/drivers/net/i40e/i40e_ethdev.c\n+++ b/drivers/net/i40e/i40e_ethdev.c\n@@ -1457,7 +1457,7 @@ eth_i40e_dev_init(struct rte_eth_dev *dev, void *init_params __rte_unused)\n \t\tgoto err_get_mac_addr;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *) hw->mac.addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->mac.addr,\n \t\t\t(struct rte_ether_addr *) hw->mac.perm_addr);\n \n \t/* Disable flow control */\n@@ -1512,7 +1512,7 @@ eth_i40e_dev_init(struct rte_eth_dev *dev, void *init_params __rte_unused)\n \t\t\t\"Failed to allocated memory for storing mac address\");\n \t\tgoto err_mac_alloc;\n \t}\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac.perm_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac.perm_addr,\n \t\t\t\t\t&dev->data->mac_addrs[0]);\n \n \t/* Init dcb to sw mode by default */\n@@ -4055,7 +4055,7 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n \n \tnew_mac = &filter->mac_addr;\n \n-\tif (is_zero_ether_addr(new_mac)) {\n+\tif (rte_is_zero_ether_addr(new_mac)) {\n \t\tPMD_DRV_LOG(ERR, \"Invalid ethernet address.\");\n \t\treturn -EINVAL;\n \t}\n@@ -4068,7 +4068,7 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n \t}\n \tvf = &pf->vfs[vf_id];\n \n-\tif (add && is_same_ether_addr(new_mac, &(pf->dev_addr))) {\n+\tif (add && rte_is_same_ether_addr(new_mac, &(pf->dev_addr))) {\n \t\tPMD_DRV_LOG(INFO, \"Ignore adding permanent MAC address.\");\n \t\treturn -EINVAL;\n \t}\n@@ -4086,7 +4086,7 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n \t\t\tPMD_DRV_LOG(ERR, \"Failed to add MAC filter.\");\n \t\t\treturn -1;\n \t\t}\n-\t\tether_addr_copy(new_mac, &pf->dev_addr);\n+\t\trte_ether_addr_copy(new_mac, &pf->dev_addr);\n \t} else {\n \t\trte_memcpy(hw->mac.addr, hw->mac.perm_addr,\n \t\t\t\tETHER_ADDR_LEN);\n@@ -4097,7 +4097,7 @@ i40e_vf_mac_filter_set(struct i40e_pf *pf,\n \t\t}\n \n \t\t/* Clear device address as it has been removed */\n-\t\tif (is_same_ether_addr(&(pf->dev_addr), new_mac))\n+\t\tif (rte_is_same_ether_addr(&(pf->dev_addr), new_mac))\n \t\t\tmemset(&pf->dev_addr, 0, sizeof(struct rte_ether_addr));\n \t}\n \n@@ -6841,7 +6841,7 @@ i40e_find_mac_filter(struct i40e_vsi *vsi,\n \tstruct i40e_mac_filter *f;\n \n \tTAILQ_FOREACH(f, &vsi->mac_list, next) {\n-\t\tif (is_same_ether_addr(macaddr, &f->mac_info.mac_addr))\n+\t\tif (rte_is_same_ether_addr(macaddr, &f->mac_info.mac_addr))\n \t\t\treturn f;\n \t}\n \n@@ -7508,9 +7508,9 @@ i40e_tunnel_filter_convert(\n \tstruct i40e_aqc_cloud_filters_element_bb *cld_filter,\n \tstruct i40e_tunnel_filter *tunnel_filter)\n {\n-\tether_addr_copy((struct rte_ether_addr *)&cld_filter->element.outer_mac,\n+\trte_ether_addr_copy((struct rte_ether_addr *)&cld_filter->element.outer_mac,\n \t\t\t(struct rte_ether_addr *)&tunnel_filter->input.outer_mac);\n-\tether_addr_copy((struct rte_ether_addr *)&cld_filter->element.inner_mac,\n+\trte_ether_addr_copy((struct rte_ether_addr *)&cld_filter->element.inner_mac,\n \t\t\t(struct rte_ether_addr *)&tunnel_filter->input.inner_mac);\n \ttunnel_filter->input.inner_vlan = cld_filter->element.inner_vlan;\n \tif ((rte_le_to_cpu_16(cld_filter->element.flags) &\n@@ -7619,9 +7619,9 @@ i40e_dev_tunnel_filter_set(struct i40e_pf *pf,\n \t}\n \tpfilter = cld_filter;\n \n-\tether_addr_copy(&tunnel_filter->outer_mac,\n+\trte_ether_addr_copy(&tunnel_filter->outer_mac,\n \t\t\t(struct rte_ether_addr *)&pfilter->element.outer_mac);\n-\tether_addr_copy(&tunnel_filter->inner_mac,\n+\trte_ether_addr_copy(&tunnel_filter->inner_mac,\n \t\t\t(struct rte_ether_addr *)&pfilter->element.inner_mac);\n \n \tpfilter->element.inner_vlan =\n@@ -8063,9 +8063,9 @@ i40e_dev_consistent_tunnel_filter_set(struct i40e_pf *pf,\n \t}\n \tpfilter = cld_filter;\n \n-\tether_addr_copy(&tunnel_filter->outer_mac,\n+\trte_ether_addr_copy(&tunnel_filter->outer_mac,\n \t\t\t(struct rte_ether_addr *)&pfilter->element.outer_mac);\n-\tether_addr_copy(&tunnel_filter->inner_mac,\n+\trte_ether_addr_copy(&tunnel_filter->inner_mac,\n \t\t\t(struct rte_ether_addr *)&pfilter->element.inner_mac);\n \n \tpfilter->element.inner_vlan =\n@@ -8538,13 +8538,13 @@ i40e_tunnel_filter_param_check(struct i40e_pf *pf,\n \t}\n \n \tif ((filter->filter_type & ETH_TUNNEL_FILTER_OMAC) &&\n-\t\t(is_zero_ether_addr(&filter->outer_mac))) {\n+\t\t(rte_is_zero_ether_addr(&filter->outer_mac))) {\n \t\tPMD_DRV_LOG(ERR, \"Cannot add NULL outer MAC address\");\n \t\treturn -EINVAL;\n \t}\n \n \tif ((filter->filter_type & ETH_TUNNEL_FILTER_IMAC) &&\n-\t\t(is_zero_ether_addr(&filter->inner_mac))) {\n+\t\t(rte_is_zero_ether_addr(&filter->inner_mac))) {\n \t\tPMD_DRV_LOG(ERR, \"Cannot add NULL inner MAC address\");\n \t\treturn -EINVAL;\n \t}\n@@ -11844,13 +11844,13 @@ static int i40e_set_default_mac_addr(struct rte_eth_dev *dev,\n \tstruct i40e_mac_filter *f;\n \tint ret;\n \n-\tif (!is_valid_assigned_ether_addr(mac_addr)) {\n+\tif (!rte_is_valid_assigned_ether_addr(mac_addr)) {\n \t\tPMD_DRV_LOG(ERR, \"Tried to set invalid MAC address.\");\n \t\treturn -EINVAL;\n \t}\n \n \tTAILQ_FOREACH(f, &vsi->mac_list, next) {\n-\t\tif (is_same_ether_addr(&pf->dev_addr, &f->mac_info.mac_addr))\n+\t\tif (rte_is_same_ether_addr(&pf->dev_addr, &f->mac_info.mac_addr))\n \t\t\tbreak;\n \t}\n \n@@ -11968,9 +11968,9 @@ i40e_tunnel_filter_restore(struct i40e_pf *pf)\n \t\t\tvsi = vf->vsi;\n \t\t}\n \t\tmemset(&cld_filter, 0, sizeof(cld_filter));\n-\t\tether_addr_copy((struct rte_ether_addr *)&f->input.outer_mac,\n+\t\trte_ether_addr_copy((struct rte_ether_addr *)&f->input.outer_mac,\n \t\t\t(struct rte_ether_addr *)&cld_filter.element.outer_mac);\n-\t\tether_addr_copy((struct rte_ether_addr *)&f->input.inner_mac,\n+\t\trte_ether_addr_copy((struct rte_ether_addr *)&f->input.inner_mac,\n \t\t\t(struct rte_ether_addr *)&cld_filter.element.inner_mac);\n \t\tcld_filter.element.inner_vlan = f->input.inner_vlan;\n \t\tcld_filter.element.flags = f->input.flags;\ndiff --git a/drivers/net/i40e/i40e_ethdev_vf.c b/drivers/net/i40e/i40e_ethdev_vf.c\nindex 601ffdc7c..22d18c740 100644\n--- a/drivers/net/i40e/i40e_ethdev_vf.c\n+++ b/drivers/net/i40e/i40e_ethdev_vf.c\n@@ -765,7 +765,7 @@ i40evf_add_mac_addr(struct rte_eth_dev *dev,\n \tint err;\n \tstruct vf_cmd_info args;\n \n-\tif (is_zero_ether_addr(addr)) {\n+\tif (rte_is_zero_ether_addr(addr)) {\n \t\tPMD_DRV_LOG(ERR, \"Invalid mac:%x:%x:%x:%x:%x:%x\",\n \t\t\t    addr->addr_bytes[0], addr->addr_bytes[1],\n \t\t\t    addr->addr_bytes[2], addr->addr_bytes[3],\n@@ -1223,10 +1223,10 @@ i40evf_init_vf(struct rte_eth_dev *dev)\n \tvf->vsi.adapter = I40E_DEV_PRIVATE_TO_ADAPTER(dev->data->dev_private);\n \n \t/* Store the MAC address configured by host, or generate random one */\n-\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)hw->mac.addr))\n+\tif (rte_is_valid_assigned_ether_addr((struct rte_ether_addr *)hw->mac.addr))\n \t\tvf->flags |= I40E_FLAG_VF_MAC_BY_PF;\n \telse\n-\t\teth_random_addr(hw->mac.addr); /* Generate a random one */\n+\t\trte_eth_random_addr(hw->mac.addr); /* Generate a random one */\n \n \tI40E_WRITE_REG(hw, I40E_VFINT_DYN_CTL01,\n \t\t       (I40E_ITR_INDEX_DEFAULT <<\n@@ -1460,7 +1460,7 @@ i40evf_dev_init(struct rte_eth_dev *eth_dev)\n \t\t\t\tETHER_ADDR_LEN * I40E_NUM_MACADDR_MAX);\n \t\treturn -ENOMEM;\n \t}\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac.addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \treturn 0;\n@@ -1872,7 +1872,7 @@ i40evf_add_del_all_mac_addr(struct rte_eth_dev *dev, bool add)\n \t\tj = 0;\n \t\tlen = sizeof(struct virtchnl_ether_addr_list);\n \t\tfor (i = begin; i < I40E_NUM_MACADDR_MAX; i++, next_begin++) {\n-\t\t\tif (is_zero_ether_addr(&dev->data->mac_addrs[i]))\n+\t\t\tif (rte_is_zero_ether_addr(&dev->data->mac_addrs[i]))\n \t\t\t\tcontinue;\n \t\t\tlen += sizeof(struct virtchnl_ether_addr);\n \t\t\tif (len >= I40E_AQ_BUF_SZ) {\n@@ -1889,7 +1889,7 @@ i40evf_add_del_all_mac_addr(struct rte_eth_dev *dev, bool add)\n \n \t\tfor (i = begin; i < next_begin; i++) {\n \t\t\taddr = &dev->data->mac_addrs[i];\n-\t\t\tif (is_zero_ether_addr(addr))\n+\t\t\tif (rte_is_zero_ether_addr(addr))\n \t\t\t\tcontinue;\n \t\t\trte_memcpy(list->list[j].addr, addr->addr_bytes,\n \t\t\t\t\t sizeof(addr->addr_bytes));\n@@ -2639,7 +2639,7 @@ i40evf_set_default_mac_addr(struct rte_eth_dev *dev,\n \tstruct i40e_vf *vf = I40EVF_DEV_PRIVATE_TO_VF(dev->data->dev_private);\n \tstruct i40e_hw *hw = I40E_DEV_PRIVATE_TO_HW(dev->data->dev_private);\n \n-\tif (!is_valid_assigned_ether_addr(mac_addr)) {\n+\tif (!rte_is_valid_assigned_ether_addr(mac_addr)) {\n \t\tPMD_DRV_LOG(ERR, \"Tried to set invalid MAC address.\");\n \t\treturn -EINVAL;\n \t}\n@@ -2652,7 +2652,7 @@ i40evf_set_default_mac_addr(struct rte_eth_dev *dev,\n \tif (i40evf_add_mac_addr(dev, mac_addr, 0, 0) != 0)\n \t\treturn -EIO;\n \n-\tether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n+\trte_ether_addr_copy(mac_addr, (struct rte_ether_addr *)hw->mac.addr);\n \treturn 0;\n }\n \ndiff --git a/drivers/net/i40e/i40e_flow.c b/drivers/net/i40e/i40e_flow.c\nindex 210552e36..f5813e99a 100644\n--- a/drivers/net/i40e/i40e_flow.c\n+++ b/drivers/net/i40e/i40e_flow.c\n@@ -2007,9 +2007,9 @@ i40e_flow_parse_ethertype_pattern(struct rte_eth_dev *dev,\n \t\t\t * Mask bits of destination MAC address must be full\n \t\t\t * of 1 or full of 0.\n \t\t\t */\n-\t\t\tif (!is_zero_ether_addr(&eth_mask->src) ||\n-\t\t\t    (!is_zero_ether_addr(&eth_mask->dst) &&\n-\t\t\t     !is_broadcast_ether_addr(&eth_mask->dst))) {\n+\t\t\tif (!rte_is_zero_ether_addr(&eth_mask->src) ||\n+\t\t\t    (!rte_is_zero_ether_addr(&eth_mask->dst) &&\n+\t\t\t     !rte_is_broadcast_ether_addr(&eth_mask->dst))) {\n \t\t\t\trte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\t   RTE_FLOW_ERROR_TYPE_ITEM,\n \t\t\t\t\t\t   item,\n@@ -2028,7 +2028,7 @@ i40e_flow_parse_ethertype_pattern(struct rte_eth_dev *dev,\n \t\t\t/* If mask bits of destination MAC address\n \t\t\t * are full of 1, set RTE_ETHTYPE_FLAGS_MAC.\n \t\t\t */\n-\t\t\tif (is_broadcast_ether_addr(&eth_mask->dst)) {\n+\t\t\tif (rte_is_broadcast_ether_addr(&eth_mask->dst)) {\n \t\t\t\tfilter->mac_addr = eth_spec->dst;\n \t\t\t\tfilter->flags |= RTE_ETHTYPE_FLAGS_MAC;\n \t\t\t} else {\n@@ -2485,8 +2485,8 @@ i40e_flow_parse_fdir_pattern(struct rte_eth_dev *dev,\n \t\t\teth_mask = item->mask;\n \n \t\t\tif (eth_spec && eth_mask) {\n-\t\t\t\tif (!is_zero_ether_addr(&eth_mask->src) ||\n-\t\t\t\t    !is_zero_ether_addr(&eth_mask->dst)) {\n+\t\t\t\tif (!rte_is_zero_ether_addr(&eth_mask->src) ||\n+\t\t\t\t    !rte_is_zero_ether_addr(&eth_mask->dst)) {\n \t\t\t\t\trte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\t      RTE_FLOW_ERROR_TYPE_ITEM,\n \t\t\t\t\t\t      item,\n@@ -3326,8 +3326,8 @@ i40e_flow_parse_vxlan_pattern(__rte_unused struct rte_eth_dev *dev,\n \t\t\t\t/* DST address of inner MAC shouldn't be masked.\n \t\t\t\t * SRC address of Inner MAC should be masked.\n \t\t\t\t */\n-\t\t\t\tif (!is_broadcast_ether_addr(&eth_mask->dst) ||\n-\t\t\t\t    !is_zero_ether_addr(&eth_mask->src) ||\n+\t\t\t\tif (!rte_is_broadcast_ether_addr(&eth_mask->dst) ||\n+\t\t\t\t    !rte_is_zero_ether_addr(&eth_mask->src) ||\n \t\t\t\t    eth_mask->type) {\n \t\t\t\t\trte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\t   RTE_FLOW_ERROR_TYPE_ITEM,\n@@ -3556,8 +3556,8 @@ i40e_flow_parse_nvgre_pattern(__rte_unused struct rte_eth_dev *dev,\n \t\t\t\t/* DST address of inner MAC shouldn't be masked.\n \t\t\t\t * SRC address of Inner MAC should be masked.\n \t\t\t\t */\n-\t\t\t\tif (!is_broadcast_ether_addr(&eth_mask->dst) ||\n-\t\t\t\t    !is_zero_ether_addr(&eth_mask->src) ||\n+\t\t\t\tif (!rte_is_broadcast_ether_addr(&eth_mask->dst) ||\n+\t\t\t\t    !rte_is_zero_ether_addr(&eth_mask->src) ||\n \t\t\t\t    eth_mask->type) {\n \t\t\t\t\trte_flow_error_set(error, EINVAL,\n \t\t\t\t\t\t   RTE_FLOW_ERROR_TYPE_ITEM,\n@@ -4803,9 +4803,9 @@ i40e_flow_destroy_tunnel_filter(struct i40e_pf *pf,\n \tint ret = 0;\n \n \tmemset(&cld_filter, 0, sizeof(cld_filter));\n-\tether_addr_copy((struct rte_ether_addr *)&filter->input.outer_mac,\n+\trte_ether_addr_copy((struct rte_ether_addr *)&filter->input.outer_mac,\n \t\t\t(struct rte_ether_addr *)&cld_filter.element.outer_mac);\n-\tether_addr_copy((struct rte_ether_addr *)&filter->input.inner_mac,\n+\trte_ether_addr_copy((struct rte_ether_addr *)&filter->input.inner_mac,\n \t\t\t(struct rte_ether_addr *)&cld_filter.element.inner_mac);\n \tcld_filter.element.inner_vlan = filter->input.inner_vlan;\n \tcld_filter.element.flags = filter->input.flags;\ndiff --git a/drivers/net/i40e/i40e_pf.c b/drivers/net/i40e/i40e_pf.c\nindex 5b77fa7fd..de619dd0d 100644\n--- a/drivers/net/i40e/i40e_pf.c\n+++ b/drivers/net/i40e/i40e_pf.c\n@@ -348,7 +348,7 @@ i40e_pf_host_process_cmd_get_vf_resource(struct i40e_pf_vf *vf, uint8_t *msg,\n \tvf_res->vsi_res[0].vsi_type = VIRTCHNL_VSI_SRIOV;\n \tvf_res->vsi_res[0].vsi_id = vf->vsi->vsi_id;\n \tvf_res->vsi_res[0].num_queue_pairs = vf->vsi->nb_qps;\n-\tether_addr_copy(&vf->mac_addr,\n+\trte_ether_addr_copy(&vf->mac_addr,\n \t\t(struct rte_ether_addr *)vf_res->vsi_res[0].default_mac_addr);\n \n send_msg:\n@@ -845,7 +845,7 @@ i40e_pf_host_process_cmd_add_ether_address(struct i40e_pf_vf *vf,\n \t\tmac = (struct rte_ether_addr *)(addr_list->list[i].addr);\n \t\trte_memcpy(&filter.mac_addr, mac, ETHER_ADDR_LEN);\n \t\tfilter.filter_type = RTE_MACVLAN_PERFECT_MATCH;\n-\t\tif (is_zero_ether_addr(mac) ||\n+\t\tif (rte_is_zero_ether_addr(mac) ||\n \t\t    i40e_vsi_add_mac(vf->vsi, &filter)) {\n \t\t\tret = I40E_ERR_INVALID_MAC_ADDR;\n \t\t\tgoto send_msg;\n@@ -887,7 +887,7 @@ i40e_pf_host_process_cmd_del_ether_address(struct i40e_pf_vf *vf,\n \n \tfor (i = 0; i < addr_list->num_elements; i++) {\n \t\tmac = (struct rte_ether_addr *)(addr_list->list[i].addr);\n-\t\tif(is_zero_ether_addr(mac) ||\n+\t\tif(rte_is_zero_ether_addr(mac) ||\n \t\t\ti40e_vsi_delete_mac(vf->vsi, mac)) {\n \t\t\tret = I40E_ERR_INVALID_MAC_ADDR;\n \t\t\tgoto send_msg;\ndiff --git a/drivers/net/i40e/rte_pmd_i40e.c b/drivers/net/i40e/rte_pmd_i40e.c\nindex e7b333655..6981d335d 100644\n--- a/drivers/net/i40e/rte_pmd_i40e.c\n+++ b/drivers/net/i40e/rte_pmd_i40e.c\n@@ -559,7 +559,7 @@ rte_pmd_i40e_set_vf_mac_addr(uint16_t port, uint16_t vf_id,\n \t\treturn -EINVAL;\n \t}\n \n-\tether_addr_copy(mac_addr, &vf->mac_addr);\n+\trte_ether_addr_copy(mac_addr, &vf->mac_addr);\n \n \t/* Remove all existing mac */\n \tTAILQ_FOREACH_SAFE(f, &vsi->mac_list, next, temp)\n@@ -603,9 +603,9 @@ rte_pmd_i40e_remove_vf_mac_addr(uint16_t port, uint16_t vf_id,\n \t\treturn -EINVAL;\n \t}\n \n-\tif (is_same_ether_addr(mac_addr, &vf->mac_addr))\n+\tif (rte_is_same_ether_addr(mac_addr, &vf->mac_addr))\n \t\t/* Reset the mac with NULL address */\n-\t\tether_addr_copy(&null_mac_addr, &vf->mac_addr);\n+\t\trte_ether_addr_copy(&null_mac_addr, &vf->mac_addr);\n \n \t/* Remove the mac */\n \ti40e_vsi_delete_mac(vsi, mac_addr);\n@@ -2386,7 +2386,7 @@ rte_pmd_i40e_add_vf_mac_addr(uint16_t port, uint16_t vf_id,\n \t}\n \n \tmac_filter.filter_type = RTE_MACVLAN_PERFECT_MATCH;\n-\tether_addr_copy(mac_addr, &mac_filter.mac_addr);\n+\trte_ether_addr_copy(mac_addr, &mac_filter.mac_addr);\n \tret = i40e_vsi_add_mac(vsi, &mac_filter);\n \tif (ret != I40E_SUCCESS) {\n \t\tPMD_DRV_LOG(ERR, \"Failed to add MAC filter.\");\n@@ -2513,7 +2513,7 @@ rte_pmd_i40e_query_vfid_by_mac(uint16_t port, const struct rte_ether_addr *vf_ma\n \t\tvf = &pf->vfs[vf_id];\n \t\tmac = &vf->mac_addr;\n \n-\t\tif (is_same_ether_addr(mac, vf_mac))\n+\t\tif (rte_is_same_ether_addr(mac, vf_mac))\n \t\t\treturn vf_id;\n \t}\n \ndiff --git a/drivers/net/ixgbe/ixgbe_ethdev.c b/drivers/net/ixgbe/ixgbe_ethdev.c\nindex e7e1bae26..bd10b4012 100644\n--- a/drivers/net/ixgbe/ixgbe_ethdev.c\n+++ b/drivers/net/ixgbe/ixgbe_ethdev.c\n@@ -1215,7 +1215,7 @@ eth_ixgbe_dev_init(struct rte_eth_dev *eth_dev, void *init_params __rte_unused)\n \t\treturn -ENOMEM;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *) hw->mac.perm_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->mac.perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \t/* Allocate memory for storing hash filter MAC addresses */\n@@ -1651,7 +1651,7 @@ eth_ixgbevf_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Generate a random MAC address, if none was assigned by PF. */\n-\tif (is_zero_ether_addr(perm_addr)) {\n+\tif (rte_is_zero_ether_addr(perm_addr)) {\n \t\tgenerate_random_mac_addr(perm_addr);\n \t\tdiag = ixgbe_set_rar_vf(hw, 1, perm_addr->addr_bytes, 0, 1);\n \t\tif (diag) {\n@@ -1671,7 +1671,7 @@ eth_ixgbevf_dev_init(struct rte_eth_dev *eth_dev)\n \t}\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy(perm_addr, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(perm_addr, &eth_dev->data->mac_addrs[0]);\n \n \t/* reset the hardware with the new settings */\n \tdiag = hw->mac.ops.start_hw(hw);\n@@ -6037,7 +6037,7 @@ ixgbevf_remove_mac_addr(struct rte_eth_dev *dev, uint32_t index)\n \t\tif (i == index)\n \t\t\tcontinue;\n \t\t/* Skip NULL MAC addresses */\n-\t\tif (is_zero_ether_addr(mac_addr))\n+\t\tif (rte_is_zero_ether_addr(mac_addr))\n \t\t\tcontinue;\n \t\t/* Skip the permanent MAC address */\n \t\tif (memcmp(perm_addr, mac_addr, sizeof(struct rte_ether_addr)) == 0)\ndiff --git a/drivers/net/ixgbe/ixgbe_flow.c b/drivers/net/ixgbe/ixgbe_flow.c\nindex 1adf1b803..ff9e6f49b 100644\n--- a/drivers/net/ixgbe/ixgbe_flow.c\n+++ b/drivers/net/ixgbe/ixgbe_flow.c\n@@ -715,9 +715,9 @@ cons_parse_ethertype_filter(const struct rte_flow_attr *attr,\n \t * Mask bits of destination MAC address must be full\n \t * of 1 or full of 0.\n \t */\n-\tif (!is_zero_ether_addr(&eth_mask->src) ||\n-\t    (!is_zero_ether_addr(&eth_mask->dst) &&\n-\t     !is_broadcast_ether_addr(&eth_mask->dst))) {\n+\tif (!rte_is_zero_ether_addr(&eth_mask->src) ||\n+\t    (!rte_is_zero_ether_addr(&eth_mask->dst) &&\n+\t     !rte_is_broadcast_ether_addr(&eth_mask->dst))) {\n \t\trte_flow_error_set(error, EINVAL,\n \t\t\t\tRTE_FLOW_ERROR_TYPE_ITEM,\n \t\t\t\titem, \"Invalid ether address mask\");\n@@ -734,7 +734,7 @@ cons_parse_ethertype_filter(const struct rte_flow_attr *attr,\n \t/* If mask bits of destination MAC address\n \t * are full of 1, set RTE_ETHTYPE_FLAGS_MAC.\n \t */\n-\tif (is_broadcast_ether_addr(&eth_mask->dst)) {\n+\tif (rte_is_broadcast_ether_addr(&eth_mask->dst)) {\n \t\tfilter->mac_addr = eth_spec->dst;\n \t\tfilter->flags |= RTE_ETHTYPE_FLAGS_MAC;\n \t} else {\ndiff --git a/drivers/net/ixgbe/ixgbe_pf.c b/drivers/net/ixgbe/ixgbe_pf.c\nindex 0840e14f3..e8089a04d 100644\n--- a/drivers/net/ixgbe/ixgbe_pf.c\n+++ b/drivers/net/ixgbe/ixgbe_pf.c\n@@ -46,7 +46,7 @@ int ixgbe_vf_perm_addr_gen(struct rte_eth_dev *dev, uint16_t vf_num)\n \tuint16_t vfn;\n \n \tfor (vfn = 0; vfn < vf_num; vfn++) {\n-\t\teth_random_addr(vf_mac_addr);\n+\t\trte_eth_random_addr(vf_mac_addr);\n \t\t/* keep the random address as default */\n \t\tmemcpy(vfinfo[vfn].vf_mac_addresses, vf_mac_addr,\n \t\t\t   ETHER_ADDR_LEN);\n@@ -480,7 +480,7 @@ ixgbe_vf_set_mac_addr(struct rte_eth_dev *dev, uint32_t vf, uint32_t *msgbuf)\n \tint rar_entry = hw->mac.num_rar_entries - (vf + 1);\n \tuint8_t *new_mac = (uint8_t *)(&msgbuf[1]);\n \n-\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n+\tif (rte_is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n \t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac, 6);\n \t\treturn hw->mac.ops.set_rar(hw, rar_entry, new_mac, vf, IXGBE_RAH_AV);\n \t}\ndiff --git a/drivers/net/ixgbe/rte_pmd_ixgbe.c b/drivers/net/ixgbe/rte_pmd_ixgbe.c\nindex 3defba175..45fc3a4ad 100644\n--- a/drivers/net/ixgbe/rte_pmd_ixgbe.c\n+++ b/drivers/net/ixgbe/rte_pmd_ixgbe.c\n@@ -35,7 +35,7 @@ rte_pmd_ixgbe_set_vf_mac_addr(uint16_t port, uint16_t vf,\n \tvfinfo = *(IXGBE_DEV_PRIVATE_TO_P_VFDATA(dev->data->dev_private));\n \trar_entry = hw->mac.num_rar_entries - (vf + 1);\n \n-\tif (is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n+\tif (rte_is_valid_assigned_ether_addr((struct rte_ether_addr *)new_mac)) {\n \t\trte_memcpy(vfinfo[vf].vf_mac_addresses, new_mac,\n \t\t\t   ETHER_ADDR_LEN);\n \t\treturn hw->mac.ops.set_rar(hw, rar_entry, new_mac, vf,\ndiff --git a/drivers/net/kni/rte_eth_kni.c b/drivers/net/kni/rte_eth_kni.c\nindex a78059c60..06651980e 100644\n--- a/drivers/net/kni/rte_eth_kni.c\n+++ b/drivers/net/kni/rte_eth_kni.c\n@@ -358,7 +358,7 @@ eth_kni_create(struct rte_vdev_device *vdev,\n \tdata->dev_link = pmd_link;\n \tdata->mac_addrs = &internals->eth_addr;\n \n-\teth_random_addr(internals->eth_addr.addr_bytes);\n+\trte_eth_random_addr(internals->eth_addr.addr_bytes);\n \n \teth_dev->dev_ops = &eth_kni_ops;\n \ndiff --git a/drivers/net/liquidio/lio_ethdev.c b/drivers/net/liquidio/lio_ethdev.c\nindex ddf6bf6e6..a2ff73c9b 100644\n--- a/drivers/net/liquidio/lio_ethdev.c\n+++ b/drivers/net/liquidio/lio_ethdev.c\n@@ -1834,7 +1834,7 @@ lio_dev_configure(struct rte_eth_dev *eth_dev)\n \t\t\t\t       2 + i));\n \n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *)mac, &eth_dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy((struct rte_ether_addr *)mac, &eth_dev->data->mac_addrs[0]);\n \n \t/* enable firmware checksum support for tunnel packets */\n \tlio_enable_hw_tunnel_rx_checksum(eth_dev);\ndiff --git a/drivers/net/mlx4/mlx4_flow.c b/drivers/net/mlx4/mlx4_flow.c\nindex 087725d7b..5287b9067 100644\n--- a/drivers/net/mlx4/mlx4_flow.c\n+++ b/drivers/net/mlx4/mlx4_flow.c\n@@ -1393,7 +1393,7 @@ mlx4_flow_internal(struct priv *priv, struct rte_flow_error *error)\n \t\t\tmac = &priv->mac[i];\n \t\telse\n \t\t\tmac = &eth_mask.dst;\n-\t\tif (is_zero_ether_addr(mac))\n+\t\tif (rte_is_zero_ether_addr(mac))\n \t\t\tcontinue;\n \t\t/* Check if MAC flow rule is already present. */\n \t\tfor (flow = LIST_FIRST(&priv->flows);\ndiff --git a/drivers/net/mlx5/mlx5_flow_tcf.c b/drivers/net/mlx5/mlx5_flow_tcf.c\nindex 9b2b127fd..024ae5ea1 100644\n--- a/drivers/net/mlx5/mlx5_flow_tcf.c\n+++ b/drivers/net/mlx5/mlx5_flow_tcf.c\n@@ -1727,7 +1727,7 @@ flow_tcf_translate(struct rte_eth_dev *dev, struct mlx5_flow *dev_flow,\n \t\t\t\t\t\t spec.eth->type);\n \t\t\t\teth_type_set = 1;\n \t\t\t}\n-\t\t\tif (!is_zero_ether_addr(&mask.eth->dst)) {\n+\t\t\tif (!rte_is_zero_ether_addr(&mask.eth->dst)) {\n \t\t\t\tmnl_attr_put(nlh, TCA_FLOWER_KEY_ETH_DST,\n \t\t\t\t\t     ETHER_ADDR_LEN,\n \t\t\t\t\t     spec.eth->dst.addr_bytes);\n@@ -1735,7 +1735,7 @@ flow_tcf_translate(struct rte_eth_dev *dev, struct mlx5_flow *dev_flow,\n \t\t\t\t\t     ETHER_ADDR_LEN,\n \t\t\t\t\t     mask.eth->dst.addr_bytes);\n \t\t\t}\n-\t\t\tif (!is_zero_ether_addr(&mask.eth->src)) {\n+\t\t\tif (!rte_is_zero_ether_addr(&mask.eth->src)) {\n \t\t\t\tmnl_attr_put(nlh, TCA_FLOWER_KEY_ETH_SRC,\n \t\t\t\t\t     ETHER_ADDR_LEN,\n \t\t\t\t\t     spec.eth->src.addr_bytes);\ndiff --git a/drivers/net/mlx5/mlx5_mac.c b/drivers/net/mlx5/mlx5_mac.c\nindex cee52a503..fdfd46f36 100644\n--- a/drivers/net/mlx5/mlx5_mac.c\n+++ b/drivers/net/mlx5/mlx5_mac.c\n@@ -71,7 +71,7 @@ mlx5_internal_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tconst int vf = priv->config.vf;\n \n \tassert(index < MLX5_MAX_MAC_ADDRESSES);\n-\tif (is_zero_ether_addr(&dev->data->mac_addrs[index]))\n+\tif (rte_is_zero_ether_addr(&dev->data->mac_addrs[index]))\n \t\treturn;\n \tif (vf)\n \t\tmlx5_nl_mac_addr_remove(dev, &dev->data->mac_addrs[index],\n@@ -101,7 +101,7 @@ mlx5_internal_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac,\n \tunsigned int i;\n \n \tassert(index < MLX5_MAX_MAC_ADDRESSES);\n-\tif (is_zero_ether_addr(mac)) {\n+\tif (rte_is_zero_ether_addr(mac)) {\n \t\trte_errno = EINVAL;\n \t\treturn -rte_errno;\n \t}\ndiff --git a/drivers/net/mlx5/mlx5_nl.c b/drivers/net/mlx5/mlx5_nl.c\nindex a82c0cc86..0fc453085 100644\n--- a/drivers/net/mlx5/mlx5_nl.c\n+++ b/drivers/net/mlx5/mlx5_nl.c\n@@ -333,7 +333,7 @@ mlx5_nl_mac_addr_cb(struct nlmsghdr *nh, void *arg)\n #ifndef NDEBUG\n \t\t\tchar m[18];\n \n-\t\t\tether_format_addr(m, 18, RTA_DATA(attribute));\n+\t\t\trte_ether_format_addr(m, 18, RTA_DATA(attribute));\n \t\t\tDRV_LOG(DEBUG, \"bridge MAC address %s\", m);\n #endif\n \t\t\tmemcpy(&(*data->mac)[data->mac_n++],\n@@ -548,14 +548,14 @@ mlx5_nl_mac_addr_sync(struct rte_eth_dev *dev)\n \n \t\t/* Verify the address is not in the array yet. */\n \t\tfor (j = 0; j != MLX5_MAX_MAC_ADDRESSES; ++j)\n-\t\t\tif (is_same_ether_addr(&macs[i],\n+\t\t\tif (rte_is_same_ether_addr(&macs[i],\n \t\t\t\t\t       &dev->data->mac_addrs[j]))\n \t\t\t\tbreak;\n \t\tif (j != MLX5_MAX_MAC_ADDRESSES)\n \t\t\tcontinue;\n \t\t/* Find the first entry available. */\n \t\tfor (j = 0; j != MLX5_MAX_MAC_ADDRESSES; ++j) {\n-\t\t\tif (is_zero_ether_addr(&dev->data->mac_addrs[j])) {\n+\t\t\tif (rte_is_zero_ether_addr(&dev->data->mac_addrs[j])) {\n \t\t\t\tdev->data->mac_addrs[j] = macs[i];\n \t\t\t\tbreak;\n \t\t\t}\ndiff --git a/drivers/net/mvneta/mvneta_ethdev.c b/drivers/net/mvneta/mvneta_ethdev.c\nindex 8fd344165..9d719f176 100644\n--- a/drivers/net/mvneta/mvneta_ethdev.c\n+++ b/drivers/net/mvneta/mvneta_ethdev.c\n@@ -594,7 +594,7 @@ mvneta_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tret = neta_ppio_remove_mac_addr(priv->ppio,\n \t\t\t\t       dev->data->mac_addrs[index].addr_bytes);\n \tif (ret) {\n-\t\tether_format_addr(buf, sizeof(buf),\n+\t\trte_ether_format_addr(buf, sizeof(buf),\n \t\t\t\t  &dev->data->mac_addrs[index]);\n \t\tMVNETA_LOG(ERR, \"Failed to remove mac %s\", buf);\n \t}\n@@ -632,7 +632,7 @@ mvneta_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \n \tret = neta_ppio_add_mac_addr(priv->ppio, mac_addr->addr_bytes);\n \tif (ret) {\n-\t\tether_format_addr(buf, sizeof(buf), mac_addr);\n+\t\trte_ether_format_addr(buf, sizeof(buf), mac_addr);\n \t\tMVNETA_LOG(ERR, \"Failed to add mac %s\", buf);\n \t\treturn -1;\n \t}\n@@ -660,7 +660,7 @@ mvneta_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \tret = neta_ppio_set_mac_addr(priv->ppio, mac_addr->addr_bytes);\n \tif (ret) {\n \t\tchar buf[ETHER_ADDR_FMT_SIZE];\n-\t\tether_format_addr(buf, sizeof(buf), mac_addr);\n+\t\trte_ether_format_addr(buf, sizeof(buf), mac_addr);\n \t\tMVNETA_LOG(ERR, \"Failed to set mac to %s\", buf);\n \t}\n \treturn 0;\ndiff --git a/drivers/net/mvpp2/mrvl_ethdev.c b/drivers/net/mvpp2/mrvl_ethdev.c\nindex bffad9ca1..b06af7761 100644\n--- a/drivers/net/mvpp2/mrvl_ethdev.c\n+++ b/drivers/net/mvpp2/mrvl_ethdev.c\n@@ -1079,7 +1079,7 @@ mrvl_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tret = pp2_ppio_remove_mac_addr(priv->ppio,\n \t\t\t\t       dev->data->mac_addrs[index].addr_bytes);\n \tif (ret) {\n-\t\tether_format_addr(buf, sizeof(buf),\n+\t\trte_ether_format_addr(buf, sizeof(buf),\n \t\t\t\t  &dev->data->mac_addrs[index]);\n \t\tMRVL_LOG(ERR, \"Failed to remove mac %s\", buf);\n \t}\n@@ -1133,7 +1133,7 @@ mrvl_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t */\n \tret = pp2_ppio_add_mac_addr(priv->ppio, mac_addr->addr_bytes);\n \tif (ret) {\n-\t\tether_format_addr(buf, sizeof(buf), mac_addr);\n+\t\trte_ether_format_addr(buf, sizeof(buf), mac_addr);\n \t\tMRVL_LOG(ERR, \"Failed to add mac %s\", buf);\n \t\treturn -1;\n \t}\n@@ -1167,7 +1167,7 @@ mrvl_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \tret = pp2_ppio_set_mac_addr(priv->ppio, mac_addr->addr_bytes);\n \tif (ret) {\n \t\tchar buf[ETHER_ADDR_FMT_SIZE];\n-\t\tether_format_addr(buf, sizeof(buf), mac_addr);\n+\t\trte_ether_format_addr(buf, sizeof(buf), mac_addr);\n \t\tMRVL_LOG(ERR, \"Failed to set mac to %s\", buf);\n \t}\n \ndiff --git a/drivers/net/netvsc/hn_rxtx.c b/drivers/net/netvsc/hn_rxtx.c\nindex a5cc95231..38fa1dae3 100644\n--- a/drivers/net/netvsc/hn_rxtx.c\n+++ b/drivers/net/netvsc/hn_rxtx.c\n@@ -128,8 +128,8 @@ hn_update_packet_stats(struct hn_stats *stats, const struct rte_mbuf *m)\n \t}\n \n \tea = rte_pktmbuf_mtod(m, const struct rte_ether_addr *);\n-\tif (is_multicast_ether_addr(ea)) {\n-\t\tif (is_broadcast_ether_addr(ea))\n+\tif (rte_is_multicast_ether_addr(ea)) {\n+\t\tif (rte_is_broadcast_ether_addr(ea))\n \t\t\tstats->broadcast++;\n \t\telse\n \t\t\tstats->multicast++;\ndiff --git a/drivers/net/netvsc/hn_vf.c b/drivers/net/netvsc/hn_vf.c\nindex 96f9e5484..4dad021cd 100644\n--- a/drivers/net/netvsc/hn_vf.c\n+++ b/drivers/net/netvsc/hn_vf.c\n@@ -36,7 +36,7 @@ static int hn_vf_match(const struct rte_eth_dev *dev)\n \tchar buf[32];\n \tint i;\n \n-\tether_format_addr(buf, sizeof(buf), mac);\n+\trte_ether_format_addr(buf, sizeof(buf), mac);\n \tRTE_ETH_FOREACH_DEV(i) {\n \t\tconst struct rte_eth_dev *vf_dev = &rte_eth_devices[i];\n \t\tconst struct rte_ether_addr *vf_mac = vf_dev->data->mac_addrs;\n@@ -44,8 +44,8 @@ static int hn_vf_match(const struct rte_eth_dev *dev)\n \t\tif (vf_dev == dev)\n \t\t\tcontinue;\n \n-\t\tether_format_addr(buf, sizeof(buf), vf_mac);\n-\t\tif (is_same_ether_addr(mac, vf_mac))\n+\t\trte_ether_format_addr(buf, sizeof(buf), vf_mac);\n+\t\tif (rte_is_same_ether_addr(mac, vf_mac))\n \t\t\treturn i;\n \t}\n \treturn -ENOENT;\ndiff --git a/drivers/net/nfp/nfp_net.c b/drivers/net/nfp/nfp_net.c\nindex 56628b5b6..bfc5e08f1 100644\n--- a/drivers/net/nfp/nfp_net.c\n+++ b/drivers/net/nfp/nfp_net.c\n@@ -2888,16 +2888,16 @@ nfp_net_init(struct rte_eth_dev *eth_dev)\n \t\tnfp_net_vf_read_mac(hw);\n \t}\n \n-\tif (!is_valid_assigned_ether_addr((struct rte_ether_addr *)&hw->mac_addr)) {\n+\tif (!rte_is_valid_assigned_ether_addr((struct rte_ether_addr *)&hw->mac_addr)) {\n \t\tPMD_INIT_LOG(INFO, \"Using random mac address for port %d\",\n \t\t\t\t   port);\n \t\t/* Using random mac addresses for VFs */\n-\t\teth_random_addr(&hw->mac_addr[0]);\n+\t\trte_eth_random_addr(&hw->mac_addr[0]);\n \t\tnfp_net_write_mac(hw, (uint8_t *)&hw->mac_addr);\n \t}\n \n \t/* Copying mac address to DPDK eth_dev struct */\n-\tether_addr_copy((struct rte_ether_addr *)hw->mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)hw->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tif (!(hw->cap & NFP_NET_CFG_CTRL_LIVE_ADDR))\ndiff --git a/drivers/net/null/rte_eth_null.c b/drivers/net/null/rte_eth_null.c\nindex b7975933c..da95abe49 100644\n--- a/drivers/net/null/rte_eth_null.c\n+++ b/drivers/net/null/rte_eth_null.c\n@@ -535,7 +535,7 @@ eth_dev_null_create(struct rte_vdev_device *dev,\n \tinternals->packet_size = packet_size;\n \tinternals->packet_copy = packet_copy;\n \tinternals->port_id = eth_dev->data->port_id;\n-\teth_random_addr(internals->eth_addr.addr_bytes);\n+\trte_eth_random_addr(internals->eth_addr.addr_bytes);\n \n \tinternals->flow_type_rss_offloads =  ETH_RSS_PROTO_MASK;\n \tinternals->reta_size = RTE_DIM(internals->reta_conf) * RTE_RETA_GROUP_SIZE;\ndiff --git a/drivers/net/qede/qede_ethdev.c b/drivers/net/qede/qede_ethdev.c\nindex 57b02cea5..89760e921 100644\n--- a/drivers/net/qede/qede_ethdev.c\n+++ b/drivers/net/qede/qede_ethdev.c\n@@ -579,7 +579,7 @@ qede_ucast_filter(struct rte_eth_dev *eth_dev, struct ecore_filter_ucast *ucast,\n \t\t\tDP_ERR(edev, \"Did not allocate memory for ucast\\n\");\n \t\t\treturn -ENOMEM;\n \t\t}\n-\t\tether_addr_copy(mac_addr, &u->mac);\n+\t\trte_ether_addr_copy(mac_addr, &u->mac);\n \t\tu->vlan = ucast->vlan;\n \t\tu->vni = ucast->vni;\n \t\tSLIST_INSERT_HEAD(&qdev->uc_list_head, u, list);\n@@ -621,14 +621,14 @@ qede_add_mcast_filters(struct rte_eth_dev *eth_dev, struct rte_ether_addr *mc_ad\n \t\t\tDP_ERR(edev, \"Did not allocate memory for mcast\\n\");\n \t\t\treturn -ENOMEM;\n \t\t}\n-\t\tether_addr_copy(&mc_addrs[i], &m->mac);\n+\t\trte_ether_addr_copy(&mc_addrs[i], &m->mac);\n \t\tSLIST_INSERT_HEAD(&qdev->mc_list_head, m, list);\n \t}\n \tmemset(&mcast, 0, sizeof(mcast));\n \tmcast.num_mc_addrs = mc_addrs_num;\n \tmcast.opcode = ECORE_FILTER_ADD;\n \tfor (i = 0; i < mc_addrs_num; i++)\n-\t\tether_addr_copy(&mc_addrs[i], (struct rte_ether_addr *)\n+\t\trte_ether_addr_copy(&mc_addrs[i], (struct rte_ether_addr *)\n \t\t\t\t\t\t\t&mcast.mac[i]);\n \trc = ecore_filter_mcast_cmd(edev, &mcast, ECORE_SPQ_MODE_CB, NULL);\n \tif (rc != ECORE_SUCCESS) {\n@@ -653,7 +653,7 @@ static int qede_del_mcast_filters(struct rte_eth_dev *eth_dev)\n \tmcast.opcode = ECORE_FILTER_REMOVE;\n \tj = 0;\n \tSLIST_FOREACH(tmp, &qdev->mc_list_head, list) {\n-\t\tether_addr_copy(&tmp->mac, (struct rte_ether_addr *)&mcast.mac[j]);\n+\t\trte_ether_addr_copy(&tmp->mac, (struct rte_ether_addr *)&mcast.mac[j]);\n \t\tj++;\n \t}\n \trc = ecore_filter_mcast_cmd(edev, &mcast, ECORE_SPQ_MODE_CB, NULL);\n@@ -706,13 +706,13 @@ qede_mac_addr_add(struct rte_eth_dev *eth_dev, struct rte_ether_addr *mac_addr,\n \tstruct ecore_filter_ucast ucast;\n \tint re;\n \n-\tif (!is_valid_assigned_ether_addr(mac_addr))\n+\tif (!rte_is_valid_assigned_ether_addr(mac_addr))\n \t\treturn -EINVAL;\n \n \tqede_set_ucast_cmn_params(&ucast);\n \tucast.opcode = ECORE_FILTER_ADD;\n \tucast.type = ECORE_FILTER_MAC;\n-\tether_addr_copy(mac_addr, (struct rte_ether_addr *)&ucast.mac);\n+\trte_ether_addr_copy(mac_addr, (struct rte_ether_addr *)&ucast.mac);\n \tre = (int)qede_mac_int_ops(eth_dev, &ucast, 1);\n \treturn re;\n }\n@@ -732,7 +732,7 @@ qede_mac_addr_remove(struct rte_eth_dev *eth_dev, uint32_t index)\n \t\treturn;\n \t}\n \n-\tif (!is_valid_assigned_ether_addr(&eth_dev->data->mac_addrs[index]))\n+\tif (!rte_is_valid_assigned_ether_addr(&eth_dev->data->mac_addrs[index]))\n \t\treturn;\n \n \tqede_set_ucast_cmn_params(&ucast);\n@@ -740,7 +740,7 @@ qede_mac_addr_remove(struct rte_eth_dev *eth_dev, uint32_t index)\n \tucast.type = ECORE_FILTER_MAC;\n \n \t/* Use the index maintained by rte */\n-\tether_addr_copy(&eth_dev->data->mac_addrs[index],\n+\trte_ether_addr_copy(&eth_dev->data->mac_addrs[index],\n \t\t\t(struct rte_ether_addr *)&ucast.mac);\n \n \tqede_mac_int_ops(eth_dev, &ucast, false);\n@@ -1773,7 +1773,7 @@ qede_set_mc_addr_list(struct rte_eth_dev *eth_dev, struct rte_ether_addr *mc_add\n \t}\n \n \tfor (i = 0; i < mc_addrs_num; i++) {\n-\t\tif (!is_multicast_ether_addr(&mc_addrs[i])) {\n+\t\tif (!rte_is_multicast_ether_addr(&mc_addrs[i])) {\n \t\t\tDP_ERR(edev, \"Not a valid multicast MAC\\n\");\n \t\t\treturn -EINVAL;\n \t\t}\n@@ -2551,10 +2551,10 @@ static int qede_common_dev_init(struct rte_eth_dev *eth_dev, bool is_vf)\n \t}\n \n \tif (!is_vf) {\n-\t\tether_addr_copy((struct rte_ether_addr *)edev->hwfns[0].\n+\t\trte_ether_addr_copy((struct rte_ether_addr *)edev->hwfns[0].\n \t\t\t\thw_info.hw_mac_addr,\n \t\t\t\t&eth_dev->data->mac_addrs[0]);\n-\t\tether_addr_copy(&eth_dev->data->mac_addrs[0],\n+\t\trte_ether_addr_copy(&eth_dev->data->mac_addrs[0],\n \t\t\t\t&adapter->primary_mac);\n \t} else {\n \t\tecore_vf_read_bulletin(ECORE_LEADING_HWFN(edev),\n@@ -2567,9 +2567,9 @@ static int qede_common_dev_init(struct rte_eth_dev *eth_dev, bool is_vf)\n \t\t\t\t\t\t&is_mac_forced);\n \t\t\tif (is_mac_exist) {\n \t\t\t\tDP_INFO(edev, \"VF macaddr received from PF\\n\");\n-\t\t\t\tether_addr_copy((struct rte_ether_addr *)&vf_mac,\n+\t\t\t\trte_ether_addr_copy((struct rte_ether_addr *)&vf_mac,\n \t\t\t\t\t\t&eth_dev->data->mac_addrs[0]);\n-\t\t\t\tether_addr_copy(&eth_dev->data->mac_addrs[0],\n+\t\t\t\trte_ether_addr_copy(&eth_dev->data->mac_addrs[0],\n \t\t\t\t\t\t&adapter->primary_mac);\n \t\t\t} else {\n \t\t\t\tDP_ERR(edev, \"No VF macaddr assigned\\n\");\ndiff --git a/drivers/net/sfc/sfc_ethdev.c b/drivers/net/sfc/sfc_ethdev.c\nindex 761958d56..fd7966eb9 100644\n--- a/drivers/net/sfc/sfc_ethdev.c\n+++ b/drivers/net/sfc/sfc_ethdev.c\n@@ -915,7 +915,7 @@ sfc_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \t * Copy the address to the device private data so that\n \t * it could be recalled in the case of adapter restart.\n \t */\n-\tether_addr_copy(mac_addr, &port->default_mac_addr);\n+\trte_ether_addr_copy(mac_addr, &port->default_mac_addr);\n \n \t/*\n \t * Neither of the two following checks can return\n@@ -975,7 +975,7 @@ sfc_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \n unlock:\n \tif (rc != 0)\n-\t\tether_addr_copy(old_addr, &port->default_mac_addr);\n+\t\trte_ether_addr_copy(old_addr, &port->default_mac_addr);\n \n \tsfc_adapter_unlock(sa);\n \n@@ -1987,7 +1987,7 @@ sfc_eth_dev_init(struct rte_eth_dev *dev)\n \t * Linux kernel. Copy from NIC config to Ethernet device data.\n \t */\n \tfrom = (const struct rte_ether_addr *)(encp->enc_mac_addr);\n-\tether_addr_copy(from, &dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(from, &dev->data->mac_addrs[0]);\n \n \tsfc_adapter_unlock(sa);\n \ndiff --git a/drivers/net/sfc/sfc_flow.c b/drivers/net/sfc/sfc_flow.c\nindex 371648b0e..3ce30ac8c 100644\n--- a/drivers/net/sfc/sfc_flow.c\n+++ b/drivers/net/sfc/sfc_flow.c\n@@ -278,7 +278,7 @@ sfc_flow_parse_eth(const struct rte_flow_item *item,\n \tif (spec == NULL)\n \t\treturn 0;\n \n-\tif (is_same_ether_addr(&mask->dst, &supp_mask.dst)) {\n+\tif (rte_is_same_ether_addr(&mask->dst, &supp_mask.dst)) {\n \t\tefx_spec->efs_match_flags |= is_ifrm ?\n \t\t\tEFX_FILTER_MATCH_IFRM_LOC_MAC :\n \t\t\tEFX_FILTER_MATCH_LOC_MAC;\n@@ -286,7 +286,7 @@ sfc_flow_parse_eth(const struct rte_flow_item *item,\n \t\t\t   EFX_MAC_ADDR_LEN);\n \t} else if (memcmp(mask->dst.addr_bytes, ig_mask,\n \t\t\t  EFX_MAC_ADDR_LEN) == 0) {\n-\t\tif (is_unicast_ether_addr(&spec->dst))\n+\t\tif (rte_is_unicast_ether_addr(&spec->dst))\n \t\t\tefx_spec->efs_match_flags |= is_ifrm ?\n \t\t\t\tEFX_FILTER_MATCH_IFRM_UNKNOWN_UCAST_DST :\n \t\t\t\tEFX_FILTER_MATCH_UNKNOWN_UCAST_DST;\n@@ -294,7 +294,7 @@ sfc_flow_parse_eth(const struct rte_flow_item *item,\n \t\t\tefx_spec->efs_match_flags |= is_ifrm ?\n \t\t\t\tEFX_FILTER_MATCH_IFRM_UNKNOWN_MCAST_DST :\n \t\t\t\tEFX_FILTER_MATCH_UNKNOWN_MCAST_DST;\n-\t} else if (!is_zero_ether_addr(&mask->dst)) {\n+\t} else if (!rte_is_zero_ether_addr(&mask->dst)) {\n \t\tgoto fail_bad_mask;\n \t}\n \n@@ -303,11 +303,11 @@ sfc_flow_parse_eth(const struct rte_flow_item *item,\n \t * ethertype masks are equal to zero in inner frame,\n \t * so these fields are filled in only for the outer frame\n \t */\n-\tif (is_same_ether_addr(&mask->src, &supp_mask.src)) {\n+\tif (rte_is_same_ether_addr(&mask->src, &supp_mask.src)) {\n \t\tefx_spec->efs_match_flags |= EFX_FILTER_MATCH_REM_MAC;\n \t\trte_memcpy(efx_spec->efs_rem_mac, spec->src.addr_bytes,\n \t\t\t   EFX_MAC_ADDR_LEN);\n-\t} else if (!is_zero_ether_addr(&mask->src)) {\n+\t} else if (!rte_is_zero_ether_addr(&mask->src)) {\n \t\tgoto fail_bad_mask;\n \t}\n \ndiff --git a/drivers/net/sfc/sfc_port.c b/drivers/net/sfc/sfc_port.c\nindex c6f403d2a..c0bd49e74 100644\n--- a/drivers/net/sfc/sfc_port.c\n+++ b/drivers/net/sfc/sfc_port.c\n@@ -388,7 +388,7 @@ sfc_port_attach(struct sfc_adapter *sa)\n \n \tRTE_BUILD_BUG_ON(sizeof(encp->enc_mac_addr) != sizeof(*from));\n \tfrom = (const struct rte_ether_addr *)(encp->enc_mac_addr);\n-\tether_addr_copy(from, &port->default_mac_addr);\n+\trte_ether_addr_copy(from, &port->default_mac_addr);\n \n \tport->max_mcast_addrs = EFX_MAC_MULTICAST_LIST_MAX;\n \tport->nb_mcast_addrs = 0;\ndiff --git a/drivers/net/szedata2/rte_eth_szedata2.c b/drivers/net/szedata2/rte_eth_szedata2.c\nindex 4857fb57a..fd12f02eb 100644\n--- a/drivers/net/szedata2/rte_eth_szedata2.c\n+++ b/drivers/net/szedata2/rte_eth_szedata2.c\n@@ -1522,7 +1522,7 @@ rte_szedata2_eth_dev_init(struct rte_eth_dev *dev, struct port_info *pi)\n \t\treturn -ENOMEM;\n \t}\n \n-\tether_addr_copy(&eth_addr, data->mac_addrs);\n+\trte_ether_addr_copy(&eth_addr, data->mac_addrs);\n \n \tPMD_INIT_LOG(INFO, \"%s device %s successfully initialized\",\n \t\t\tRTE_STR(RTE_SZEDATA2_DRIVER_NAME), data->name);\ndiff --git a/drivers/net/tap/rte_eth_tap.c b/drivers/net/tap/rte_eth_tap.c\nindex 473dcdbf5..6ae88093f 100644\n--- a/drivers/net/tap/rte_eth_tap.c\n+++ b/drivers/net/tap/rte_eth_tap.c\n@@ -1113,7 +1113,7 @@ tap_mac_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \t\treturn -ENOTSUP;\n \t}\n \n-\tif (is_zero_ether_addr(mac_addr)) {\n+\tif (rte_is_zero_ether_addr(mac_addr)) {\n \t\tTAP_LOG(ERR, \"%s: can't set an empty MAC address\",\n \t\t\tdev->device->name);\n \t\treturn -EINVAL;\n@@ -1122,14 +1122,14 @@ tap_mac_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n \tret = tap_ioctl(pmd, SIOCGIFHWADDR, &ifr, 0, LOCAL_ONLY);\n \tif (ret < 0)\n \t\treturn ret;\n-\tif (is_same_ether_addr((struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n+\tif (rte_is_same_ether_addr((struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n \t\t\t       mac_addr))\n \t\treturn 0;\n \t/* Check the current MAC address on the remote */\n \tret = tap_ioctl(pmd, SIOCGIFHWADDR, &ifr, 0, REMOTE_ONLY);\n \tif (ret < 0)\n \t\treturn ret;\n-\tif (!is_same_ether_addr((struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n+\tif (!rte_is_same_ether_addr((struct rte_ether_addr *)&ifr.ifr_hwaddr.sa_data,\n \t\t\t       mac_addr))\n \t\tmode = LOCAL_AND_REMOTE;\n \tifr.ifr_hwaddr.sa_family = AF_LOCAL;\n@@ -1674,8 +1674,8 @@ eth_dev_tap_create(struct rte_vdev_device *vdev, char *tap_name,\n \t}\n \n \tif (pmd->type == ETH_TUNTAP_TYPE_TAP) {\n-\t\tif (is_zero_ether_addr(mac_addr))\n-\t\t\teth_random_addr((uint8_t *)&pmd->eth_addr);\n+\t\tif (rte_is_zero_ether_addr(mac_addr))\n+\t\t\trte_eth_random_addr((uint8_t *)&pmd->eth_addr);\n \t\telse\n \t\t\trte_memcpy(&pmd->eth_addr, mac_addr, sizeof(*mac_addr));\n \t}\ndiff --git a/drivers/net/tap/tap_flow.c b/drivers/net/tap/tap_flow.c\nindex 0e01af62a..0d5019c30 100644\n--- a/drivers/net/tap/tap_flow.c\n+++ b/drivers/net/tap/tap_flow.c\n@@ -537,14 +537,14 @@ tap_flow_create_eth(const struct rte_flow_item *item, void *data)\n \tif (!flow)\n \t\treturn 0;\n \tmsg = &flow->msg;\n-\tif (!is_zero_ether_addr(&mask->dst)) {\n+\tif (!rte_is_zero_ether_addr(&mask->dst)) {\n \t\ttap_nlattr_add(&msg->nh, TCA_FLOWER_KEY_ETH_DST, ETHER_ADDR_LEN,\n \t\t\t   &spec->dst.addr_bytes);\n \t\ttap_nlattr_add(&msg->nh,\n \t\t\t   TCA_FLOWER_KEY_ETH_DST_MASK, ETHER_ADDR_LEN,\n \t\t\t   &mask->dst.addr_bytes);\n \t}\n-\tif (!is_zero_ether_addr(&mask->src)) {\n+\tif (!rte_is_zero_ether_addr(&mask->src)) {\n \t\ttap_nlattr_add(&msg->nh, TCA_FLOWER_KEY_ETH_SRC, ETHER_ADDR_LEN,\n \t\t\t   &spec->src.addr_bytes);\n \t\ttap_nlattr_add(&msg->nh,\ndiff --git a/drivers/net/thunderx/base/nicvf_mbox.c b/drivers/net/thunderx/base/nicvf_mbox.c\nindex 2a793a4b1..332a249e8 100644\n--- a/drivers/net/thunderx/base/nicvf_mbox.c\n+++ b/drivers/net/thunderx/base/nicvf_mbox.c\n@@ -135,7 +135,7 @@ nicvf_handle_mbx_intr(struct nicvf *nic)\n \t\tnic->node = mbx.nic_cfg.node_id;\n \t\tnic->sqs_mode = mbx.nic_cfg.sqs_mode;\n \t\tnic->loopback_supported = mbx.nic_cfg.loopback_supported;\n-\t\tether_addr_copy((struct rte_ether_addr *)mbx.nic_cfg.mac_addr,\n+\t\trte_ether_addr_copy((struct rte_ether_addr *)mbx.nic_cfg.mac_addr,\n \t\t\t\t(struct rte_ether_addr *)nic->mac_addr);\n \t\tnic->pf_acked = true;\n \t\tbreak;\ndiff --git a/drivers/net/thunderx/nicvf_ethdev.c b/drivers/net/thunderx/nicvf_ethdev.c\nindex ec2087924..482968b7a 100644\n--- a/drivers/net/thunderx/nicvf_ethdev.c\n+++ b/drivers/net/thunderx/nicvf_ethdev.c\n@@ -2179,10 +2179,10 @@ nicvf_eth_dev_init(struct rte_eth_dev *eth_dev)\n \t\tret = -ENOMEM;\n \t\tgoto alarm_fail;\n \t}\n-\tif (is_zero_ether_addr((struct rte_ether_addr *)nic->mac_addr))\n-\t\teth_random_addr(&nic->mac_addr[0]);\n+\tif (rte_is_zero_ether_addr((struct rte_ether_addr *)nic->mac_addr))\n+\t\trte_eth_random_addr(&nic->mac_addr[0]);\n \n-\tether_addr_copy((struct rte_ether_addr *)nic->mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *)nic->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tret = nicvf_mbox_set_mac_addr(nic, nic->mac_addr);\ndiff --git a/drivers/net/vdev_netvsc/vdev_netvsc.c b/drivers/net/vdev_netvsc/vdev_netvsc.c\nindex 3124c1a07..99a099ce6 100644\n--- a/drivers/net/vdev_netvsc/vdev_netvsc.c\n+++ b/drivers/net/vdev_netvsc/vdev_netvsc.c\n@@ -390,7 +390,7 @@ vdev_netvsc_device_probe(const struct if_nameindex *iface,\n \t\tstrlcpy(ctx->if_name, iface->if_name, sizeof(ctx->if_name));\n \t\treturn 0;\n \t}\n-\tif (!is_same_ether_addr(eth_addr, &ctx->if_addr))\n+\tif (!rte_is_same_ether_addr(eth_addr, &ctx->if_addr))\n \t\treturn 0;\n \t/* Look for associated PCI device. */\n \tret = vdev_netvsc_sysfs_readlink(buf, sizeof(buf), iface->if_name,\n@@ -547,7 +547,7 @@ vdev_netvsc_netvsc_probe(const struct if_nameindex *iface,\n \t\t\t\t\t\tpair->value);\n \t\t\t\t\treturn -EINVAL;\n \t\t\t\t}\n-\t\t\t\tif (is_same_ether_addr(eth_addr, &tmp))\n+\t\t\t\tif (rte_is_same_ether_addr(eth_addr, &tmp))\n \t\t\t\t\tbreak;\n \t\t\t}\n \t\t}\ndiff --git a/drivers/net/vhost/rte_eth_vhost.c b/drivers/net/vhost/rte_eth_vhost.c\nindex 4c8d0ca92..f4300a13a 100644\n--- a/drivers/net/vhost/rte_eth_vhost.c\n+++ b/drivers/net/vhost/rte_eth_vhost.c\n@@ -329,8 +329,8 @@ vhost_count_multicast_broadcast(struct vhost_queue *vq,\n \tstruct vhost_stats *pstats = &vq->stats;\n \n \tea = rte_pktmbuf_mtod(mbuf, struct rte_ether_addr *);\n-\tif (is_multicast_ether_addr(ea)) {\n-\t\tif (is_broadcast_ether_addr(ea))\n+\tif (rte_is_multicast_ether_addr(ea)) {\n+\t\tif (rte_is_broadcast_ether_addr(ea))\n \t\t\tpstats->xstats[VHOST_BROADCAST_PKT]++;\n \t\telse\n \t\t\tpstats->xstats[VHOST_MULTICAST_PKT]++;\ndiff --git a/drivers/net/virtio/virtio_ethdev.c b/drivers/net/virtio/virtio_ethdev.c\nindex 645b03c81..bd405c16f 100644\n--- a/drivers/net/virtio/virtio_ethdev.c\n+++ b/drivers/net/virtio/virtio_ethdev.c\n@@ -962,7 +962,7 @@ virtio_get_hwaddr(struct virtio_hw *hw)\n \t\t\toffsetof(struct virtio_net_config, mac),\n \t\t\t&hw->mac_addr, ETHER_ADDR_LEN);\n \t} else {\n-\t\teth_random_addr(&hw->mac_addr[0]);\n+\t\trte_eth_random_addr(&hw->mac_addr[0]);\n \t\tvirtio_set_hwaddr(hw);\n \t}\n }\n@@ -1018,7 +1018,7 @@ virtio_mac_addr_add(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr,\n \t\tconst struct rte_ether_addr *addr\n \t\t\t= (i == index) ? mac_addr : addrs + i;\n \t\tstruct virtio_net_ctrl_mac *tbl\n-\t\t\t= is_multicast_ether_addr(addr) ? mc : uc;\n+\t\t\t= rte_is_multicast_ether_addr(addr) ? mc : uc;\n \n \t\tmemcpy(&tbl->macs[tbl->entries++], addr, ETHER_ADDR_LEN);\n \t}\n@@ -1047,10 +1047,10 @@ virtio_mac_addr_remove(struct rte_eth_dev *dev, uint32_t index)\n \tfor (i = 0; i < VIRTIO_MAX_MAC_ADDRS; i++) {\n \t\tstruct virtio_net_ctrl_mac *tbl;\n \n-\t\tif (i == index || is_zero_ether_addr(addrs + i))\n+\t\tif (i == index || rte_is_zero_ether_addr(addrs + i))\n \t\t\tcontinue;\n \n-\t\ttbl = is_multicast_ether_addr(addrs + i) ? mc : uc;\n+\t\ttbl = rte_is_multicast_ether_addr(addrs + i) ? mc : uc;\n \t\tmemcpy(&tbl->macs[tbl->entries++], addrs + i, ETHER_ADDR_LEN);\n \t}\n \n@@ -1483,7 +1483,7 @@ virtio_init_device(struct rte_eth_dev *eth_dev, uint64_t req_features)\n \n \t/* Copy the permanent MAC address to: virtio_hw */\n \tvirtio_get_hwaddr(hw);\n-\tether_addr_copy((struct rte_ether_addr *) hw->mac_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->mac_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \tPMD_INIT_LOG(DEBUG,\n \t\t     \"PORT MAC: %02X:%02X:%02X:%02X:%02X:%02X\",\ndiff --git a/drivers/net/virtio/virtio_rxtx.c b/drivers/net/virtio/virtio_rxtx.c\nindex ef8936a75..dc8cb4808 100644\n--- a/drivers/net/virtio/virtio_rxtx.c\n+++ b/drivers/net/virtio/virtio_rxtx.c\n@@ -793,8 +793,8 @@ virtio_update_packet_stats(struct virtnet_stats *stats, struct rte_mbuf *mbuf)\n \t}\n \n \tea = rte_pktmbuf_mtod(mbuf, struct rte_ether_addr *);\n-\tif (is_multicast_ether_addr(ea)) {\n-\t\tif (is_broadcast_ether_addr(ea))\n+\tif (rte_is_multicast_ether_addr(ea)) {\n+\t\tif (rte_is_broadcast_ether_addr(ea))\n \t\t\tstats->broadcast++;\n \t\telse\n \t\t\tstats->multicast++;\ndiff --git a/drivers/net/vmxnet3/vmxnet3_ethdev.c b/drivers/net/vmxnet3/vmxnet3_ethdev.c\nindex b1cbeefcd..96e941490 100644\n--- a/drivers/net/vmxnet3/vmxnet3_ethdev.c\n+++ b/drivers/net/vmxnet3/vmxnet3_ethdev.c\n@@ -311,7 +311,7 @@ eth_vmxnet3_dev_init(struct rte_eth_dev *eth_dev)\n \t\treturn -ENOMEM;\n \t}\n \t/* Copy the permanent MAC address */\n-\tether_addr_copy((struct rte_ether_addr *) hw->perm_addr,\n+\trte_ether_addr_copy((struct rte_ether_addr *) hw->perm_addr,\n \t\t\t&eth_dev->data->mac_addrs[0]);\n \n \tPMD_INIT_LOG(DEBUG, \"MAC Address : %02x:%02x:%02x:%02x:%02x:%02x\",\n@@ -1166,7 +1166,7 @@ vmxnet3_mac_addr_set(struct rte_eth_dev *dev, struct rte_ether_addr *mac_addr)\n {\n \tstruct vmxnet3_hw *hw = dev->data->dev_private;\n \n-\tether_addr_copy(mac_addr, (struct rte_ether_addr *)(hw->perm_addr));\n+\trte_ether_addr_copy(mac_addr, (struct rte_ether_addr *)(hw->perm_addr));\n \tvmxnet3_write_mac(hw, mac_addr->addr_bytes);\n \treturn 0;\n }\ndiff --git a/examples/bond/main.c b/examples/bond/main.c\nindex fe17936b9..2e67aa516 100644\n--- a/examples/bond/main.c\n+++ b/examples/bond/main.c\n@@ -372,12 +372,12 @@ static int lcore_main(__attribute__((unused)) void *arg1)\n \t\t\t\t\tif (arp_hdr->arp_opcode == rte_cpu_to_be_16(RTE_ARP_OP_REQUEST)) {\n \t\t\t\t\t\tarp_hdr->arp_opcode = rte_cpu_to_be_16(RTE_ARP_OP_REPLY);\n \t\t\t\t\t\t/* Switch src and dst data and set bonding MAC */\n-\t\t\t\t\t\tether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n+\t\t\t\t\t\trte_ether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n \t\t\t\t\t\trte_eth_macaddr_get(BOND_PORT, &eth_hdr->s_addr);\n-\t\t\t\t\t\tether_addr_copy(&arp_hdr->arp_data.arp_sha, &arp_hdr->arp_data.arp_tha);\n+\t\t\t\t\t\trte_ether_addr_copy(&arp_hdr->arp_data.arp_sha, &arp_hdr->arp_data.arp_tha);\n \t\t\t\t\t\tarp_hdr->arp_data.arp_tip = arp_hdr->arp_data.arp_sip;\n \t\t\t\t\t\trte_eth_macaddr_get(BOND_PORT, &d_addr);\n-\t\t\t\t\t\tether_addr_copy(&d_addr, &arp_hdr->arp_data.arp_sha);\n+\t\t\t\t\t\trte_ether_addr_copy(&d_addr, &arp_hdr->arp_data.arp_sha);\n \t\t\t\t\t\tarp_hdr->arp_data.arp_sip = bond_ip;\n \t\t\t\t\t\trte_eth_tx_burst(BOND_PORT, 0, &pkts[i], 1);\n \t\t\t\t\t\tis_free = 1;\n@@ -392,7 +392,7 @@ static int lcore_main(__attribute__((unused)) void *arg1)\n \t\t\t\t }\n \t\t\t\tipv4_hdr = (struct ipv4_hdr *)((char *)(eth_hdr + 1) + offset);\n \t\t\t\tif (ipv4_hdr->dst_addr == bond_ip) {\n-\t\t\t\t\tether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n+\t\t\t\t\trte_ether_addr_copy(&eth_hdr->s_addr, &eth_hdr->d_addr);\n \t\t\t\t\trte_eth_macaddr_get(BOND_PORT, &eth_hdr->s_addr);\n \t\t\t\t\tipv4_hdr->dst_addr = ipv4_hdr->src_addr;\n \t\t\t\t\tipv4_hdr->src_addr = bond_ip;\ndiff --git a/examples/ethtool/ethtool-app/main.c b/examples/ethtool/ethtool-app/main.c\nindex ae61e7363..35b992436 100644\n--- a/examples/ethtool/ethtool-app/main.c\n+++ b/examples/ethtool/ethtool-app/main.c\n@@ -163,8 +163,8 @@ static void process_frame(struct app_port *ptr_port,\n \tstruct rte_ether_hdr *ptr_mac_hdr;\n \n \tptr_mac_hdr = rte_pktmbuf_mtod(ptr_frame, struct rte_ether_hdr *);\n-\tether_addr_copy(&ptr_mac_hdr->s_addr, &ptr_mac_hdr->d_addr);\n-\tether_addr_copy(&ptr_port->mac_addr, &ptr_mac_hdr->s_addr);\n+\trte_ether_addr_copy(&ptr_mac_hdr->s_addr, &ptr_mac_hdr->d_addr);\n+\trte_ether_addr_copy(&ptr_port->mac_addr, &ptr_mac_hdr->s_addr);\n }\n \n static int slave_main(__attribute__((unused)) void *ptr_data)\ndiff --git a/examples/ethtool/lib/rte_ethtool.c b/examples/ethtool/lib/rte_ethtool.c\nindex 35984cf00..d8fa8dd65 100644\n--- a/examples/ethtool/lib/rte_ethtool.c\n+++ b/examples/ethtool/lib/rte_ethtool.c\n@@ -327,7 +327,7 @@ rte_ethtool_net_validate_addr(uint16_t port_id __rte_unused,\n {\n \tif (addr == NULL)\n \t\treturn -EINVAL;\n-\treturn is_valid_assigned_ether_addr(addr);\n+\treturn rte_is_valid_assigned_ether_addr(addr);\n }\n \n int\ndiff --git a/examples/eventdev_pipeline/pipeline_common.h b/examples/eventdev_pipeline/pipeline_common.h\nindex ef23d963a..8e30393d0 100644\n--- a/examples/eventdev_pipeline/pipeline_common.h\n+++ b/examples/eventdev_pipeline/pipeline_common.h\n@@ -104,8 +104,8 @@ exchange_mac(struct rte_mbuf *m)\n \n \t/* change mac addresses on packet (to use mbuf data) */\n \teth = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n-\tether_addr_copy(&eth->d_addr, &addr);\n-\tether_addr_copy(&addr, &eth->d_addr);\n+\trte_ether_addr_copy(&eth->d_addr, &addr);\n+\trte_ether_addr_copy(&addr, &eth->d_addr);\n }\n \n static __rte_always_inline void\ndiff --git a/examples/flow_filtering/main.c b/examples/flow_filtering/main.c\nindex dad8bccbf..9c77f214f 100644\n--- a/examples/flow_filtering/main.c\n+++ b/examples/flow_filtering/main.c\n@@ -48,7 +48,7 @@ static inline void\n print_ether_addr(const char *what, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", what, buf);\n }\n \ndiff --git a/examples/ip_fragmentation/main.c b/examples/ip_fragmentation/main.c\nindex ccde72cc0..b223912c6 100644\n--- a/examples/ip_fragmentation/main.c\n+++ b/examples/ip_fragmentation/main.c\n@@ -345,7 +345,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, struct lcore_queue_conf *qconf,\n \t\t*((uint64_t *)d_addr_bytes) = 0x000000000002 + ((uint64_t)port_out << 40);\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[port_out], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[port_out], &eth_hdr->s_addr);\n \t\tif (ipv6)\n \t\t\teth_hdr->ether_type = rte_be_to_cpu_16(ETHER_TYPE_IPv6);\n \t\telse\n@@ -563,7 +563,7 @@ static void\n print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/ip_reassembly/main.c b/examples/ip_reassembly/main.c\nindex 226153968..3a98e644f 100644\n--- a/examples/ip_reassembly/main.c\n+++ b/examples/ip_reassembly/main.c\n@@ -409,7 +409,7 @@ reassemble(struct rte_mbuf *m, uint16_t portid, uint32_t queue,\n \t*((uint64_t *)d_addr_bytes) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \tsend_single_packet(m, dst_port);\n }\n@@ -694,7 +694,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/ipsec-secgw/ipsec-secgw.c b/examples/ipsec-secgw/ipsec-secgw.c\nindex abddc6f24..caad010e1 100644\n--- a/examples/ipsec-secgw/ipsec-secgw.c\n+++ b/examples/ipsec-secgw/ipsec-secgw.c\n@@ -1179,7 +1179,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/ipv4_multicast/main.c b/examples/ipv4_multicast/main.c\nindex 297bf1152..b74971dc2 100644\n--- a/examples/ipv4_multicast/main.c\n+++ b/examples/ipv4_multicast/main.c\n@@ -287,8 +287,8 @@ mcast_send_pkt(struct rte_mbuf *pkt, struct rte_ether_addr *dest_addr,\n \tethdr = (struct rte_ether_hdr *)rte_pktmbuf_prepend(pkt, (uint16_t)sizeof(*ethdr));\n \tRTE_ASSERT(ethdr != NULL);\n \n-\tether_addr_copy(dest_addr, &ethdr->d_addr);\n-\tether_addr_copy(&ports_eth_addr[port], &ethdr->s_addr);\n+\trte_ether_addr_copy(dest_addr, &ethdr->d_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[port], &ethdr->s_addr);\n \tethdr->ether_type = rte_be_to_cpu_16(ETHER_TYPE_IPv4);\n \n \t/* Put new packet into the output queue */\n@@ -548,7 +548,7 @@ static void\n print_ethaddr(const char *name, struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/kni/main.c b/examples/kni/main.c\nindex 9ad30566e..8c326153e 100644\n--- a/examples/kni/main.c\n+++ b/examples/kni/main.c\n@@ -767,7 +767,7 @@ static void\n print_ethaddr(const char *name, struct rte_ether_addr *mac_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, mac_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, mac_addr);\n \tRTE_LOG(INFO, APP, \"\\t%s%s\\n\", name, buf);\n }\n \ndiff --git a/examples/l2fwd-crypto/main.c b/examples/l2fwd-crypto/main.c\nindex 05fef7daf..bde1bf3cd 100644\n--- a/examples/l2fwd-crypto/main.c\n+++ b/examples/l2fwd-crypto/main.c\n@@ -599,7 +599,7 @@ l2fwd_mac_updating(struct rte_mbuf *m, uint16_t dest_portid)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dest_portid << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&l2fwd_ports_eth_addr[dest_portid], &eth->s_addr);\n+\trte_ether_addr_copy(&l2fwd_ports_eth_addr[dest_portid], &eth->s_addr);\n }\n \n static void\ndiff --git a/examples/l2fwd-jobstats/main.c b/examples/l2fwd-jobstats/main.c\nindex 033104d63..77e44dc82 100644\n--- a/examples/l2fwd-jobstats/main.c\n+++ b/examples/l2fwd-jobstats/main.c\n@@ -349,7 +349,7 @@ l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n+\trte_ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n \n \tbuffer = tx_buffer[dst_port];\n \tsent = rte_eth_tx_buffer(dst_port, 0, buffer, m);\ndiff --git a/examples/l2fwd-keepalive/main.c b/examples/l2fwd-keepalive/main.c\nindex 21d19932d..9831a4323 100644\n--- a/examples/l2fwd-keepalive/main.c\n+++ b/examples/l2fwd-keepalive/main.c\n@@ -179,7 +179,7 @@ l2fwd_simple_forward(struct rte_mbuf *m, unsigned portid)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n+\trte_ether_addr_copy(&l2fwd_ports_eth_addr[dst_port], &eth->s_addr);\n \n \tbuffer = tx_buffer[dst_port];\n \tsent = rte_eth_tx_buffer(dst_port, 0, buffer, m);\ndiff --git a/examples/l2fwd/main.c b/examples/l2fwd/main.c\nindex c1d6797b0..1e2b14297 100644\n--- a/examples/l2fwd/main.c\n+++ b/examples/l2fwd/main.c\n@@ -161,7 +161,7 @@ l2fwd_mac_updating(struct rte_mbuf *m, unsigned dest_portid)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dest_portid << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&l2fwd_ports_eth_addr[dest_portid], &eth->s_addr);\n+\trte_ether_addr_copy(&l2fwd_ports_eth_addr[dest_portid], &eth->s_addr);\n }\n \n static void\ndiff --git a/examples/l3fwd-acl/main.c b/examples/l3fwd-acl/main.c\nindex ed25f75d8..4b94c246a 100644\n--- a/examples/l3fwd-acl/main.c\n+++ b/examples/l3fwd-acl/main.c\n@@ -1757,7 +1757,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/l3fwd-power/main.c b/examples/l3fwd-power/main.c\nindex c36cafb22..283aa8cf4 100644\n--- a/examples/l3fwd-power/main.c\n+++ b/examples/l3fwd-power/main.c\n@@ -658,7 +658,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n #endif\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(m, dst_port);\n \t} else if (RTE_ETH_IS_IPV6_HDR(m->packet_type)) {\n@@ -683,7 +683,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t\t0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(m, dst_port);\n #else\n@@ -1358,7 +1358,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/l3fwd-vf/main.c b/examples/l3fwd-vf/main.c\nindex 2c0c89e21..56a55ac28 100644\n--- a/examples/l3fwd-vf/main.c\n+++ b/examples/l3fwd-vf/main.c\n@@ -450,7 +450,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid,\n #endif\n \n \t/* src addr */\n-\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \tsend_single_packet(m, dst_port);\n \n@@ -791,7 +791,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \ndiff --git a/examples/l3fwd/l3fwd_em.h b/examples/l3fwd/l3fwd_em.h\nindex 5612ef378..4a7336350 100644\n--- a/examples/l3fwd/l3fwd_em.h\n+++ b/examples/l3fwd/l3fwd_em.h\n@@ -47,7 +47,7 @@ l3fwd_em_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(qconf, m, dst_port);\n \t} else if (tcp_or_udp && (l3_ptypes == RTE_PTYPE_L3_IPV6)) {\n@@ -68,7 +68,7 @@ l3fwd_em_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(qconf, m, dst_port);\n \t} else {\ndiff --git a/examples/l3fwd/l3fwd_lpm.h b/examples/l3fwd/l3fwd_lpm.h\nindex 28635bf03..323f853ee 100644\n--- a/examples/l3fwd/l3fwd_lpm.h\n+++ b/examples/l3fwd/l3fwd_lpm.h\n@@ -43,7 +43,7 @@ l3fwd_lpm_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(qconf, m, dst_port);\n \t} else if (RTE_ETH_IS_IPV6_HDR(m->packet_type)) {\n@@ -64,7 +64,7 @@ l3fwd_lpm_simple_forward(struct rte_mbuf *m, uint16_t portid,\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(qconf, m, dst_port);\n \t} else {\ndiff --git a/examples/l3fwd/main.c b/examples/l3fwd/main.c\nindex a91a0a16c..ad013bae8 100644\n--- a/examples/l3fwd/main.c\n+++ b/examples/l3fwd/main.c\n@@ -637,7 +637,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \n@@ -895,7 +895,7 @@ main(int argc, char **argv)\n \t\t/*\n \t\t * prepare src MACs for each port.\n \t\t */\n-\t\tether_addr_copy(&ports_eth_addr[portid],\n+\t\trte_ether_addr_copy(&ports_eth_addr[portid],\n \t\t\t(struct rte_ether_addr *)(val_eth + portid) + 1);\n \n \t\t/* init memory */\ndiff --git a/examples/link_status_interrupt/main.c b/examples/link_status_interrupt/main.c\nindex 9997c58f4..9cd4dc7a6 100644\n--- a/examples/link_status_interrupt/main.c\n+++ b/examples/link_status_interrupt/main.c\n@@ -176,7 +176,7 @@ lsi_simple_forward(struct rte_mbuf *m, unsigned portid)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&lsi_ports_eth_addr[dst_port], &eth->s_addr);\n+\trte_ether_addr_copy(&lsi_ports_eth_addr[dst_port], &eth->s_addr);\n \n \tbuffer = tx_buffer[dst_port];\n \tsent = rte_eth_tx_buffer(dst_port, 0, buffer, m);\ndiff --git a/examples/performance-thread/l3fwd-thread/main.c b/examples/performance-thread/l3fwd-thread/main.c\nindex b29ed9e97..bd1ec8fa0 100644\n--- a/examples/performance-thread/l3fwd-thread/main.c\n+++ b/examples/performance-thread/l3fwd-thread/main.c\n@@ -1070,14 +1070,14 @@ simple_ipv4_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n \t*(uint64_t *)&eth_hdr[7]->d_addr = dest_eth_addr[dst_port[7]];\n \n \t/* src addr */\n-\tether_addr_copy(&ports_eth_addr[dst_port[0]], &eth_hdr[0]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[1]], &eth_hdr[1]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[2]], &eth_hdr[2]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[3]], &eth_hdr[3]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[4]], &eth_hdr[4]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[5]], &eth_hdr[5]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[6]], &eth_hdr[6]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[7]], &eth_hdr[7]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[0]], &eth_hdr[0]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[1]], &eth_hdr[1]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[2]], &eth_hdr[2]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[3]], &eth_hdr[3]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[4]], &eth_hdr[4]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[5]], &eth_hdr[5]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[6]], &eth_hdr[6]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[7]], &eth_hdr[7]->s_addr);\n \n \tsend_single_packet(m[0], (uint8_t)dst_port[0]);\n \tsend_single_packet(m[1], (uint8_t)dst_port[1]);\n@@ -1204,14 +1204,14 @@ simple_ipv6_fwd_8pkts(struct rte_mbuf *m[8], uint16_t portid)\n \t*(uint64_t *)&eth_hdr[7]->d_addr = dest_eth_addr[dst_port[7]];\n \n \t/* src addr */\n-\tether_addr_copy(&ports_eth_addr[dst_port[0]], &eth_hdr[0]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[1]], &eth_hdr[1]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[2]], &eth_hdr[2]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[3]], &eth_hdr[3]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[4]], &eth_hdr[4]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[5]], &eth_hdr[5]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[6]], &eth_hdr[6]->s_addr);\n-\tether_addr_copy(&ports_eth_addr[dst_port[7]], &eth_hdr[7]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[0]], &eth_hdr[0]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[1]], &eth_hdr[1]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[2]], &eth_hdr[2]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[3]], &eth_hdr[3]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[4]], &eth_hdr[4]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[5]], &eth_hdr[5]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[6]], &eth_hdr[6]->s_addr);\n+\trte_ether_addr_copy(&ports_eth_addr[dst_port[7]], &eth_hdr[7]->s_addr);\n \n \tsend_single_packet(m[0], dst_port[0]);\n \tsend_single_packet(m[1], dst_port[1]);\n@@ -1262,7 +1262,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid)\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(m, dst_port);\n \t} else if (RTE_ETH_IS_IPV6_HDR(m->packet_type)) {\n@@ -1283,7 +1283,7 @@ l3fwd_simple_forward(struct rte_mbuf *m, uint16_t portid)\n \t\t*(uint64_t *)&eth_hdr->d_addr = dest_eth_addr[dst_port];\n \n \t\t/* src addr */\n-\t\tether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n+\t\trte_ether_addr_copy(&ports_eth_addr[dst_port], &eth_hdr->s_addr);\n \n \t\tsend_single_packet(m, dst_port);\n \t} else\n@@ -3024,7 +3024,7 @@ print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n \n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \n@@ -3582,7 +3582,7 @@ main(int argc, char **argv)\n \t\t/*\n \t\t * prepare src MACs for each port.\n \t\t */\n-\t\tether_addr_copy(&ports_eth_addr[portid],\n+\t\trte_ether_addr_copy(&ports_eth_addr[portid],\n \t\t\t(struct rte_ether_addr *)(val_eth + portid) + 1);\n \n \t\t/* init memory */\ndiff --git a/examples/ptpclient/ptpclient.c b/examples/ptpclient/ptpclient.c\nindex 7d28f59ce..1266f521c 100644\n--- a/examples/ptpclient/ptpclient.c\n+++ b/examples/ptpclient/ptpclient.c\n@@ -401,7 +401,7 @@ parse_fup(struct ptpv2_data_slave_ordinary *ptp_data)\n \t\trte_eth_macaddr_get(ptp_data->portid, &eth_hdr->s_addr);\n \n \t\t/* Set multicast address 01-1B-19-00-00-00. */\n-\t\tether_addr_copy(&eth_multicast, &eth_hdr->d_addr);\n+\t\trte_ether_addr_copy(&eth_multicast, &eth_hdr->d_addr);\n \n \t\teth_hdr->ether_type = htons(PTP_PROTOCOL);\n \t\tptp_msg = (struct ptp_message *)\ndiff --git a/examples/quota_watermark/qw/main.c b/examples/quota_watermark/qw/main.c\nindex 61e92a820..a61360b99 100644\n--- a/examples/quota_watermark/qw/main.c\n+++ b/examples/quota_watermark/qw/main.c\n@@ -67,7 +67,7 @@ static void send_pause_frame(uint16_t port_id, uint16_t duration)\n \tpause_frame = (struct ether_fc_frame *) &hdr[1];\n \n \trte_eth_macaddr_get(port_id, &mac_addr);\n-\tether_addr_copy(&mac_addr, &hdr->s_addr);\n+\trte_ether_addr_copy(&mac_addr, &hdr->s_addr);\n \n \tvoid *tmp = &hdr->d_addr.addr_bytes[0];\n \t*((uint64_t *)tmp) = 0x010000C28001ULL;\ndiff --git a/examples/tep_termination/vxlan_setup.c b/examples/tep_termination/vxlan_setup.c\nindex e4af7bc4d..18a2215b4 100644\n--- a/examples/tep_termination/vxlan_setup.c\n+++ b/examples/tep_termination/vxlan_setup.c\n@@ -243,7 +243,7 @@ vxlan_link(struct vhost_dev *vdev, struct rte_mbuf *m)\n \n \t/* Learn MAC address of guest device from packet */\n \tpkt_hdr = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n-\tif (is_same_ether_addr(&(pkt_hdr->s_addr), &vdev->mac_address)) {\n+\tif (rte_is_same_ether_addr(&(pkt_hdr->s_addr), &vdev->mac_address)) {\n \t\tRTE_LOG(INFO, VHOST_DATA,\n \t\t\t\"(%d) WARNING: This device is using an existing\"\n \t\t\t\" MAC address and has not been registered.\\n\",\n@@ -261,11 +261,11 @@ vxlan_link(struct vhost_dev *vdev, struct rte_mbuf *m)\n \tmemset(&tunnel_filter_conf, 0,\n \t\tsizeof(struct rte_eth_tunnel_filter_conf));\n \n-\tether_addr_copy(&ports_eth_addr[0], &tunnel_filter_conf.outer_mac);\n+\trte_ether_addr_copy(&ports_eth_addr[0], &tunnel_filter_conf.outer_mac);\n \ttunnel_filter_conf.filter_type = tep_filter_type[filter_idx];\n \n \t/* inner MAC */\n-\tether_addr_copy(&vdev->mac_address, &tunnel_filter_conf.inner_mac);\n+\trte_ether_addr_copy(&vdev->mac_address, &tunnel_filter_conf.inner_mac);\n \n \ttunnel_filter_conf.queue_id = vdev->rx_q;\n \ttunnel_filter_conf.tenant_id = tenant_id_conf[vdev->rx_q];\n@@ -309,9 +309,9 @@ vxlan_link(struct vhost_dev *vdev, struct rte_mbuf *m)\n \t}\n \n \tvxdev.out_key = tenant_id_conf[vdev->rx_q];\n-\tether_addr_copy(&vxdev.port[portid].peer_mac,\n+\trte_ether_addr_copy(&vxdev.port[portid].peer_mac,\n \t\t\t&app_l2_hdr[portid].d_addr);\n-\tether_addr_copy(&ports_eth_addr[0],\n+\trte_ether_addr_copy(&ports_eth_addr[0],\n \t\t\t&app_l2_hdr[portid].s_addr);\n \tapp_l2_hdr[portid].ether_type = rte_cpu_to_be_16(ETHER_TYPE_IPv4);\n \n@@ -349,8 +349,8 @@ vxlan_unlink(struct vhost_dev *vdev)\n \t\tmemset(&tunnel_filter_conf, 0,\n \t\t\tsizeof(struct rte_eth_tunnel_filter_conf));\n \n-\t\tether_addr_copy(&ports_eth_addr[0], &tunnel_filter_conf.outer_mac);\n-\t\tether_addr_copy(&vdev->mac_address, &tunnel_filter_conf.inner_mac);\n+\t\trte_ether_addr_copy(&ports_eth_addr[0], &tunnel_filter_conf.outer_mac);\n+\t\trte_ether_addr_copy(&vdev->mac_address, &tunnel_filter_conf.inner_mac);\n \t\ttunnel_filter_conf.tenant_id = tenant_id_conf[vdev->rx_q];\n \t\ttunnel_filter_conf.filter_type = tep_filter_type[filter_idx];\n \ndiff --git a/examples/vhost/main.c b/examples/vhost/main.c\nindex bea6b975a..568a2b232 100644\n--- a/examples/vhost/main.c\n+++ b/examples/vhost/main.c\n@@ -680,7 +680,7 @@ find_vhost_dev(struct rte_ether_addr *mac)\n \n \tTAILQ_FOREACH(vdev, &vhost_dev_list, global_vdev_entry) {\n \t\tif (vdev->ready == DEVICE_RX &&\n-\t\t    is_same_ether_addr(mac, &vdev->mac_address))\n+\t\t    rte_is_same_ether_addr(mac, &vdev->mac_address))\n \t\t\treturn vdev;\n \t}\n \n@@ -928,7 +928,7 @@ virtio_tx_route(struct vhost_dev *vdev, struct rte_mbuf *m, uint16_t vlan_tag)\n \n \n \tnh = rte_pktmbuf_mtod(m, struct rte_ether_hdr *);\n-\tif (unlikely(is_broadcast_ether_addr(&nh->d_addr))) {\n+\tif (unlikely(rte_is_broadcast_ether_addr(&nh->d_addr))) {\n \t\tstruct vhost_dev *vdev2;\n \n \t\tTAILQ_FOREACH(vdev2, &vhost_dev_list, global_vdev_entry) {\ndiff --git a/examples/vmdq/main.c b/examples/vmdq/main.c\nindex 5195a515a..7281ffd7f 100644\n--- a/examples/vmdq/main.c\n+++ b/examples/vmdq/main.c\n@@ -417,7 +417,7 @@ update_mac_address(struct rte_mbuf *m, unsigned dst_port)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&vmdq_ports_eth_addr[dst_port], &eth->s_addr);\n+\trte_ether_addr_copy(&vmdq_ports_eth_addr[dst_port], &eth->s_addr);\n }\n \n /* When we receive a HUP signal, print out our stats */\ndiff --git a/examples/vmdq_dcb/main.c b/examples/vmdq_dcb/main.c\nindex 75cf31a10..389000327 100644\n--- a/examples/vmdq_dcb/main.c\n+++ b/examples/vmdq_dcb/main.c\n@@ -504,7 +504,7 @@ update_mac_address(struct rte_mbuf *m, unsigned dst_port)\n \t*((uint64_t *)tmp) = 0x000000000002 + ((uint64_t)dst_port << 40);\n \n \t/* src addr */\n-\tether_addr_copy(&vmdq_ports_eth_addr[dst_port], &eth->s_addr);\n+\trte_ether_addr_copy(&vmdq_ports_eth_addr[dst_port], &eth->s_addr);\n }\n \n /* When we receive a HUP signal, print out our stats */\ndiff --git a/lib/librte_ethdev/rte_ethdev.c b/lib/librte_ethdev/rte_ethdev.c\nindex 08e226c3a..c552a20bd 100644\n--- a/lib/librte_ethdev/rte_ethdev.c\n+++ b/lib/librte_ethdev/rte_ethdev.c\n@@ -1252,7 +1252,7 @@ rte_eth_dev_mac_restore(struct rte_eth_dev *dev,\n \t\t\taddr = &dev->data->mac_addrs[i];\n \n \t\t\t/* skip zero address */\n-\t\t\tif (is_zero_ether_addr(addr))\n+\t\t\tif (rte_is_zero_ether_addr(addr))\n \t\t\t\tcontinue;\n \n \t\t\tpool = 0;\n@@ -2474,7 +2474,7 @@ rte_eth_macaddr_get(uint16_t port_id, struct rte_ether_addr *mac_addr)\n \n \tRTE_ETH_VALID_PORTID_OR_RET(port_id);\n \tdev = &rte_eth_devices[port_id];\n-\tether_addr_copy(&dev->data->mac_addrs[0], mac_addr);\n+\trte_ether_addr_copy(&dev->data->mac_addrs[0], mac_addr);\n }\n \n \n@@ -2973,7 +2973,7 @@ rte_eth_dev_mac_addr_add(uint16_t port_id, struct rte_ether_addr *addr,\n \tdev = &rte_eth_devices[port_id];\n \tRTE_FUNC_PTR_OR_ERR_RET(*dev->dev_ops->mac_addr_add, -ENOTSUP);\n \n-\tif (is_zero_ether_addr(addr)) {\n+\tif (rte_is_zero_ether_addr(addr)) {\n \t\tRTE_ETHDEV_LOG(ERR, \"Port %u: Cannot add NULL MAC address\\n\",\n \t\t\tport_id);\n \t\treturn -EINVAL;\n@@ -3004,7 +3004,7 @@ rte_eth_dev_mac_addr_add(uint16_t port_id, struct rte_ether_addr *addr,\n \n \tif (ret == 0) {\n \t\t/* Update address in NIC data structure */\n-\t\tether_addr_copy(addr, &dev->data->mac_addrs[index]);\n+\t\trte_ether_addr_copy(addr, &dev->data->mac_addrs[index]);\n \n \t\t/* Update pool bitmap in NIC data structure */\n \t\tdev->data->mac_pool_sel[index] |= (1ULL << pool);\n@@ -3036,7 +3036,7 @@ rte_eth_dev_mac_addr_remove(uint16_t port_id, struct rte_ether_addr *addr)\n \t(*dev->dev_ops->mac_addr_remove)(dev, index);\n \n \t/* Update address in NIC data structure */\n-\tether_addr_copy(&null_mac_addr, &dev->data->mac_addrs[index]);\n+\trte_ether_addr_copy(&null_mac_addr, &dev->data->mac_addrs[index]);\n \n \t/* reset pool bitmap */\n \tdev->data->mac_pool_sel[index] = 0;\n@@ -3052,7 +3052,7 @@ rte_eth_dev_default_mac_addr_set(uint16_t port_id, struct rte_ether_addr *addr)\n \n \tRTE_ETH_VALID_PORTID_OR_ERR_RET(port_id, -ENODEV);\n \n-\tif (!is_valid_assigned_ether_addr(addr))\n+\tif (!rte_is_valid_assigned_ether_addr(addr))\n \t\treturn -EINVAL;\n \n \tdev = &rte_eth_devices[port_id];\n@@ -3063,7 +3063,7 @@ rte_eth_dev_default_mac_addr_set(uint16_t port_id, struct rte_ether_addr *addr)\n \t\treturn ret;\n \n \t/* Update default address in NIC data structure */\n-\tether_addr_copy(addr, &dev->data->mac_addrs[0]);\n+\trte_ether_addr_copy(addr, &dev->data->mac_addrs[0]);\n \n \treturn 0;\n }\n@@ -3103,7 +3103,7 @@ rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct rte_ether_addr *addr,\n \tRTE_ETH_VALID_PORTID_OR_ERR_RET(port_id, -ENODEV);\n \n \tdev = &rte_eth_devices[port_id];\n-\tif (is_zero_ether_addr(addr)) {\n+\tif (rte_is_zero_ether_addr(addr)) {\n \t\tRTE_ETHDEV_LOG(ERR, \"Port %u: Cannot add NULL MAC address\\n\",\n \t\t\tport_id);\n \t\treturn -EINVAL;\n@@ -3135,10 +3135,10 @@ rte_eth_dev_uc_hash_table_set(uint16_t port_id, struct rte_ether_addr *addr,\n \tif (ret == 0) {\n \t\t/* Update address in NIC data structure */\n \t\tif (on)\n-\t\t\tether_addr_copy(addr,\n+\t\t\trte_ether_addr_copy(addr,\n \t\t\t\t\t&dev->data->hash_mac_addrs[index]);\n \t\telse\n-\t\t\tether_addr_copy(&null_mac_addr,\n+\t\t\trte_ether_addr_copy(&null_mac_addr,\n \t\t\t\t\t&dev->data->hash_mac_addrs[index]);\n \t}\n \ndiff --git a/lib/librte_gro/gro_tcp4.c b/lib/librte_gro/gro_tcp4.c\nindex 552db8f35..398b5b4cf 100644\n--- a/lib/librte_gro/gro_tcp4.c\n+++ b/lib/librte_gro/gro_tcp4.c\n@@ -160,8 +160,8 @@ insert_new_flow(struct gro_tcp4_tbl *tbl,\n \n \tdst = &(tbl->flows[flow_idx].key);\n \n-\tether_addr_copy(&(src->eth_saddr), &(dst->eth_saddr));\n-\tether_addr_copy(&(src->eth_daddr), &(dst->eth_daddr));\n+\trte_ether_addr_copy(&(src->eth_saddr), &(dst->eth_saddr));\n+\trte_ether_addr_copy(&(src->eth_daddr), &(dst->eth_daddr));\n \tdst->ip_src_addr = src->ip_src_addr;\n \tdst->ip_dst_addr = src->ip_dst_addr;\n \tdst->recv_ack = src->recv_ack;\n@@ -235,8 +235,8 @@ gro_tcp4_reassemble(struct rte_mbuf *pkt,\n \tip_id = is_atomic ? 0 : rte_be_to_cpu_16(ipv4_hdr->packet_id);\n \tsent_seq = rte_be_to_cpu_32(tcp_hdr->sent_seq);\n \n-\tether_addr_copy(&(eth_hdr->s_addr), &(key.eth_saddr));\n-\tether_addr_copy(&(eth_hdr->d_addr), &(key.eth_daddr));\n+\trte_ether_addr_copy(&(eth_hdr->s_addr), &(key.eth_saddr));\n+\trte_ether_addr_copy(&(eth_hdr->d_addr), &(key.eth_daddr));\n \tkey.ip_src_addr = ipv4_hdr->src_addr;\n \tkey.ip_dst_addr = ipv4_hdr->dst_addr;\n \tkey.src_port = tcp_hdr->src_port;\ndiff --git a/lib/librte_gro/gro_tcp4.h b/lib/librte_gro/gro_tcp4.h\nindex 439f9a8c4..4879e2400 100644\n--- a/lib/librte_gro/gro_tcp4.h\n+++ b/lib/librte_gro/gro_tcp4.h\n@@ -182,8 +182,8 @@ uint32_t gro_tcp4_tbl_pkt_count(void *tbl);\n static inline int\n is_same_tcp4_flow(struct tcp4_flow_key k1, struct tcp4_flow_key k2)\n {\n-\treturn (is_same_ether_addr(&k1.eth_saddr, &k2.eth_saddr) &&\n-\t\t\tis_same_ether_addr(&k1.eth_daddr, &k2.eth_daddr) &&\n+\treturn (rte_is_same_ether_addr(&k1.eth_saddr, &k2.eth_saddr) &&\n+\t\t\trte_is_same_ether_addr(&k1.eth_daddr, &k2.eth_daddr) &&\n \t\t\t(k1.ip_src_addr == k2.ip_src_addr) &&\n \t\t\t(k1.ip_dst_addr == k2.ip_dst_addr) &&\n \t\t\t(k1.recv_ack == k2.recv_ack) &&\ndiff --git a/lib/librte_gro/gro_vxlan_tcp4.c b/lib/librte_gro/gro_vxlan_tcp4.c\nindex 4985b0124..baf3beffb 100644\n--- a/lib/librte_gro/gro_vxlan_tcp4.c\n+++ b/lib/librte_gro/gro_vxlan_tcp4.c\n@@ -164,9 +164,9 @@ insert_new_flow(struct gro_vxlan_tcp4_tbl *tbl,\n \n \tdst = &(tbl->flows[flow_idx].key);\n \n-\tether_addr_copy(&(src->inner_key.eth_saddr),\n+\trte_ether_addr_copy(&(src->inner_key.eth_saddr),\n \t\t\t&(dst->inner_key.eth_saddr));\n-\tether_addr_copy(&(src->inner_key.eth_daddr),\n+\trte_ether_addr_copy(&(src->inner_key.eth_daddr),\n \t\t\t&(dst->inner_key.eth_daddr));\n \tdst->inner_key.ip_src_addr = src->inner_key.ip_src_addr;\n \tdst->inner_key.ip_dst_addr = src->inner_key.ip_dst_addr;\n@@ -176,8 +176,8 @@ insert_new_flow(struct gro_vxlan_tcp4_tbl *tbl,\n \n \tdst->vxlan_hdr.vx_flags = src->vxlan_hdr.vx_flags;\n \tdst->vxlan_hdr.vx_vni = src->vxlan_hdr.vx_vni;\n-\tether_addr_copy(&(src->outer_eth_saddr), &(dst->outer_eth_saddr));\n-\tether_addr_copy(&(src->outer_eth_daddr), &(dst->outer_eth_daddr));\n+\trte_ether_addr_copy(&(src->outer_eth_saddr), &(dst->outer_eth_saddr));\n+\trte_ether_addr_copy(&(src->outer_eth_daddr), &(dst->outer_eth_daddr));\n \tdst->outer_ip_src_addr = src->outer_ip_src_addr;\n \tdst->outer_ip_dst_addr = src->outer_ip_dst_addr;\n \tdst->outer_src_port = src->outer_src_port;\n@@ -193,8 +193,8 @@ static inline int\n is_same_vxlan_tcp4_flow(struct vxlan_tcp4_flow_key k1,\n \t\tstruct vxlan_tcp4_flow_key k2)\n {\n-\treturn (is_same_ether_addr(&k1.outer_eth_saddr, &k2.outer_eth_saddr) &&\n-\t\t\tis_same_ether_addr(&k1.outer_eth_daddr,\n+\treturn (rte_is_same_ether_addr(&k1.outer_eth_saddr, &k2.outer_eth_saddr) &&\n+\t\t\trte_is_same_ether_addr(&k1.outer_eth_daddr,\n \t\t\t\t&k2.outer_eth_daddr) &&\n \t\t\t(k1.outer_ip_src_addr == k2.outer_ip_src_addr) &&\n \t\t\t(k1.outer_ip_dst_addr == k2.outer_ip_dst_addr) &&\n@@ -348,8 +348,8 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \n \tsent_seq = rte_be_to_cpu_32(tcp_hdr->sent_seq);\n \n-\tether_addr_copy(&(eth_hdr->s_addr), &(key.inner_key.eth_saddr));\n-\tether_addr_copy(&(eth_hdr->d_addr), &(key.inner_key.eth_daddr));\n+\trte_ether_addr_copy(&(eth_hdr->s_addr), &(key.inner_key.eth_saddr));\n+\trte_ether_addr_copy(&(eth_hdr->d_addr), &(key.inner_key.eth_daddr));\n \tkey.inner_key.ip_src_addr = ipv4_hdr->src_addr;\n \tkey.inner_key.ip_dst_addr = ipv4_hdr->dst_addr;\n \tkey.inner_key.recv_ack = tcp_hdr->recv_ack;\n@@ -358,8 +358,8 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \n \tkey.vxlan_hdr.vx_flags = vxlan_hdr->vx_flags;\n \tkey.vxlan_hdr.vx_vni = vxlan_hdr->vx_vni;\n-\tether_addr_copy(&(outer_eth_hdr->s_addr), &(key.outer_eth_saddr));\n-\tether_addr_copy(&(outer_eth_hdr->d_addr), &(key.outer_eth_daddr));\n+\trte_ether_addr_copy(&(outer_eth_hdr->s_addr), &(key.outer_eth_saddr));\n+\trte_ether_addr_copy(&(outer_eth_hdr->d_addr), &(key.outer_eth_daddr));\n \tkey.outer_ip_src_addr = outer_ipv4_hdr->src_addr;\n \tkey.outer_ip_dst_addr = outer_ipv4_hdr->dst_addr;\n \tkey.outer_src_port = udp_hdr->src_port;\ndiff --git a/lib/librte_net/rte_arp.c b/lib/librte_net/rte_arp.c\nindex 921adb4cf..2274dc65d 100644\n--- a/lib/librte_net/rte_arp.c\n+++ b/lib/librte_net/rte_arp.c\n@@ -30,7 +30,7 @@ rte_net_make_rarp_packet(struct rte_mempool *mpool,\n \n \t/* Ethernet header. */\n \tmemset(eth_hdr->d_addr.addr_bytes, 0xff, ETHER_ADDR_LEN);\n-\tether_addr_copy(mac, &eth_hdr->s_addr);\n+\trte_ether_addr_copy(mac, &eth_hdr->s_addr);\n \teth_hdr->ether_type = htons(ETHER_TYPE_RARP);\n \n \t/* RARP header. */\n@@ -41,8 +41,8 @@ rte_net_make_rarp_packet(struct rte_mempool *mpool,\n \trarp->arp_plen = 4;\n \trarp->arp_opcode  = htons(RTE_ARP_OP_REVREQUEST);\n \n-\tether_addr_copy(mac, &rarp->arp_data.arp_sha);\n-\tether_addr_copy(mac, &rarp->arp_data.arp_tha);\n+\trte_ether_addr_copy(mac, &rarp->arp_data.arp_sha);\n+\trte_ether_addr_copy(mac, &rarp->arp_data.arp_tha);\n \tmemset(&rarp->arp_data.arp_sip, 0x00, 4);\n \tmemset(&rarp->arp_data.arp_tip, 0x00, 4);\n \ndiff --git a/lib/librte_net/rte_ether.h b/lib/librte_net/rte_ether.h\nindex ddb531845..cf6cbf431 100644\n--- a/lib/librte_net/rte_ether.h\n+++ b/lib/librte_net/rte_ether.h\n@@ -75,7 +75,7 @@ struct rte_ether_addr {\n  *  True  (1) if the given two ethernet address are the same;\n  *  False (0) otherwise.\n  */\n-static inline int is_same_ether_addr(const struct rte_ether_addr *ea1,\n+static inline int rte_is_same_ether_addr(const struct rte_ether_addr *ea1,\n \t\t\t\t     const struct rte_ether_addr *ea2)\n {\n \tint i;\n@@ -95,7 +95,7 @@ static inline int is_same_ether_addr(const struct rte_ether_addr *ea1,\n  *   True  (1) if the given ethernet address is filled with zeros;\n  *   false (0) otherwise.\n  */\n-static inline int is_zero_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_zero_ether_addr(const struct rte_ether_addr *ea)\n {\n \tint i;\n \tfor (i = 0; i < ETHER_ADDR_LEN; i++)\n@@ -114,7 +114,7 @@ static inline int is_zero_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is a unicast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_unicast_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_unicast_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_GROUP_ADDR) == 0;\n }\n@@ -129,7 +129,7 @@ static inline int is_unicast_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is a multicast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_multicast_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_multicast_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn ea->addr_bytes[0] & ETHER_GROUP_ADDR;\n }\n@@ -144,7 +144,7 @@ static inline int is_multicast_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is a broadcast address;\n  *   false (0) otherwise.\n  */\n-static inline int is_broadcast_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_broadcast_ether_addr(const struct rte_ether_addr *ea)\n {\n \tconst unaligned_uint16_t *ea_words = (const unaligned_uint16_t *)ea;\n \n@@ -162,7 +162,7 @@ static inline int is_broadcast_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is a universally assigned address;\n  *   false (0) otherwise.\n  */\n-static inline int is_universal_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_universal_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_LOCAL_ADMIN_ADDR) == 0;\n }\n@@ -177,7 +177,7 @@ static inline int is_universal_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is a locally assigned address;\n  *   false (0) otherwise.\n  */\n-static inline int is_local_admin_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_local_admin_ether_addr(const struct rte_ether_addr *ea)\n {\n \treturn (ea->addr_bytes[0] & ETHER_LOCAL_ADMIN_ADDR) != 0;\n }\n@@ -193,9 +193,9 @@ static inline int is_local_admin_ether_addr(const struct rte_ether_addr *ea)\n  *   True  (1) if the given ethernet address is valid;\n  *   false (0) otherwise.\n  */\n-static inline int is_valid_assigned_ether_addr(const struct rte_ether_addr *ea)\n+static inline int rte_is_valid_assigned_ether_addr(const struct rte_ether_addr *ea)\n {\n-\treturn is_unicast_ether_addr(ea) && (!is_zero_ether_addr(ea));\n+\treturn rte_is_unicast_ether_addr(ea) && (!rte_is_zero_ether_addr(ea));\n }\n \n /**\n@@ -204,7 +204,7 @@ static inline int is_valid_assigned_ether_addr(const struct rte_ether_addr *ea)\n  * @param addr\n  *   A pointer to Ethernet address.\n  */\n-static inline void eth_random_addr(uint8_t *addr)\n+static inline void rte_eth_random_addr(uint8_t *addr)\n {\n \tuint64_t rand = rte_rand();\n \tuint8_t *p = (uint8_t *)&rand;\n@@ -222,7 +222,7 @@ static inline void eth_random_addr(uint8_t *addr)\n  * @param ea_to\n  *   A pointer to a ether_addr structure where to copy the Ethernet address.\n  */\n-static inline void ether_addr_copy(const struct rte_ether_addr *ea_from,\n+static inline void rte_ether_addr_copy(const struct rte_ether_addr *ea_from,\n \t\t\t\t   struct rte_ether_addr *ea_to)\n {\n #ifdef __INTEL_COMPILER\n@@ -252,7 +252,7 @@ static inline void ether_addr_copy(const struct rte_ether_addr *ea_from,\n  *   A pointer to a ether_addr structure.\n  */\n static inline void\n-ether_format_addr(char *buf, uint16_t size,\n+rte_ether_format_addr(char *buf, uint16_t size,\n \t\t  const struct rte_ether_addr *eth_addr)\n {\n \tsnprintf(buf, size, \"%02X:%02X:%02X:%02X:%02X:%02X\",\ndiff --git a/lib/librte_pipeline/rte_table_action.c b/lib/librte_pipeline/rte_table_action.c\nindex 693be2cad..3cd1fd521 100644\n--- a/lib/librte_pipeline/rte_table_action.c\n+++ b/lib/librte_pipeline/rte_table_action.c\n@@ -615,8 +615,8 @@ encap_ether_apply(void *data,\n \t\tETHER_TYPE_IPv6;\n \n \t/* Ethernet */\n-\tether_addr_copy(&p->ether.ether.da, &d->ether.d_addr);\n-\tether_addr_copy(&p->ether.ether.sa, &d->ether.s_addr);\n+\trte_ether_addr_copy(&p->ether.ether.da, &d->ether.d_addr);\n+\trte_ether_addr_copy(&p->ether.ether.sa, &d->ether.s_addr);\n \td->ether.ether_type = rte_htons(ethertype);\n \n \treturn 0;\n@@ -633,8 +633,8 @@ encap_vlan_apply(void *data,\n \t\tETHER_TYPE_IPv6;\n \n \t/* Ethernet */\n-\tether_addr_copy(&p->vlan.ether.da, &d->ether.d_addr);\n-\tether_addr_copy(&p->vlan.ether.sa, &d->ether.s_addr);\n+\trte_ether_addr_copy(&p->vlan.ether.da, &d->ether.d_addr);\n+\trte_ether_addr_copy(&p->vlan.ether.sa, &d->ether.s_addr);\n \td->ether.ether_type = rte_htons(ETHER_TYPE_VLAN);\n \n \t/* VLAN */\n@@ -657,8 +657,8 @@ encap_qinq_apply(void *data,\n \t\tETHER_TYPE_IPv6;\n \n \t/* Ethernet */\n-\tether_addr_copy(&p->qinq.ether.da, &d->ether.d_addr);\n-\tether_addr_copy(&p->qinq.ether.sa, &d->ether.s_addr);\n+\trte_ether_addr_copy(&p->qinq.ether.da, &d->ether.d_addr);\n+\trte_ether_addr_copy(&p->qinq.ether.sa, &d->ether.s_addr);\n \td->ether.ether_type = rte_htons(ETHER_TYPE_QINQ);\n \n \t/* SVLAN */\n@@ -687,8 +687,8 @@ encap_mpls_apply(void *data,\n \tuint32_t i;\n \n \t/* Ethernet */\n-\tether_addr_copy(&p->mpls.ether.da, &d->ether.d_addr);\n-\tether_addr_copy(&p->mpls.ether.sa, &d->ether.s_addr);\n+\trte_ether_addr_copy(&p->mpls.ether.da, &d->ether.d_addr);\n+\trte_ether_addr_copy(&p->mpls.ether.sa, &d->ether.s_addr);\n \td->ether.ether_type = rte_htons(ethertype);\n \n \t/* MPLS */\n@@ -714,8 +714,8 @@ encap_pppoe_apply(void *data,\n \tstruct encap_pppoe_data *d = data;\n \n \t/* Ethernet */\n-\tether_addr_copy(&p->pppoe.ether.da, &d->ether.d_addr);\n-\tether_addr_copy(&p->pppoe.ether.sa, &d->ether.s_addr);\n+\trte_ether_addr_copy(&p->pppoe.ether.da, &d->ether.d_addr);\n+\trte_ether_addr_copy(&p->pppoe.ether.sa, &d->ether.s_addr);\n \td->ether.ether_type = rte_htons(ETHER_TYPE_PPPOE_SESSION);\n \n \t/* PPPoE and PPP*/\n@@ -744,8 +744,8 @@ encap_vxlan_apply(void *data,\n \t\t\tstruct encap_vxlan_ipv4_vlan_data *d = data;\n \n \t\t\t/* Ethernet */\n-\t\t\tether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n-\t\t\tether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n \t\t\td->ether.ether_type = rte_htons(ETHER_TYPE_VLAN);\n \n \t\t\t/* VLAN */\n@@ -783,8 +783,8 @@ encap_vxlan_apply(void *data,\n \t\t\tstruct encap_vxlan_ipv4_data *d = data;\n \n \t\t\t/* Ethernet */\n-\t\t\tether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n-\t\t\tether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n \t\t\td->ether.ether_type = rte_htons(ETHER_TYPE_IPv4);\n \n \t\t\t/* IPv4*/\n@@ -818,8 +818,8 @@ encap_vxlan_apply(void *data,\n \t\t\tstruct encap_vxlan_ipv6_vlan_data *d = data;\n \n \t\t\t/* Ethernet */\n-\t\t\tether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n-\t\t\tether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n \t\t\td->ether.ether_type = rte_htons(ETHER_TYPE_VLAN);\n \n \t\t\t/* VLAN */\n@@ -857,8 +857,8 @@ encap_vxlan_apply(void *data,\n \t\t\tstruct encap_vxlan_ipv6_data *d = data;\n \n \t\t\t/* Ethernet */\n-\t\t\tether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n-\t\t\tether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.da, &d->ether.d_addr);\n+\t\t\trte_ether_addr_copy(&p->vxlan.ether.sa, &d->ether.s_addr);\n \t\t\td->ether.ether_type = rte_htons(ETHER_TYPE_IPv6);\n \n \t\t\t/* IPv6*/\ndiff --git a/test/test/packet_burst_generator.c b/test/test/packet_burst_generator.c\nindex 51ab0db29..2ef5d15a5 100644\n--- a/test/test/packet_burst_generator.c\n+++ b/test/test/packet_burst_generator.c\n@@ -57,8 +57,8 @@ initialize_eth_header(struct rte_ether_hdr *eth_hdr, struct rte_ether_addr *src_\n \t\tstruct rte_ether_addr *dst_mac, uint16_t ether_type,\n \t\tuint8_t vlan_enabled, uint16_t van_id)\n {\n-\tether_addr_copy(dst_mac, &eth_hdr->d_addr);\n-\tether_addr_copy(src_mac, &eth_hdr->s_addr);\n+\trte_ether_addr_copy(dst_mac, &eth_hdr->d_addr);\n+\trte_ether_addr_copy(src_mac, &eth_hdr->s_addr);\n \n \tif (vlan_enabled) {\n \t\tstruct rte_vlan_hdr *vhdr = (struct rte_vlan_hdr *)((uint8_t *)eth_hdr +\n@@ -83,9 +83,9 @@ initialize_arp_header(struct rte_arp_hdr *arp_hdr, struct rte_ether_addr *src_ma\n \tarp_hdr->arp_hlen = ETHER_ADDR_LEN;\n \tarp_hdr->arp_plen = sizeof(uint32_t);\n \tarp_hdr->arp_opcode = rte_cpu_to_be_16(opcode);\n-\tether_addr_copy(src_mac, &arp_hdr->arp_data.arp_sha);\n+\trte_ether_addr_copy(src_mac, &arp_hdr->arp_data.arp_sha);\n \tarp_hdr->arp_data.arp_sip = src_ip;\n-\tether_addr_copy(dst_mac, &arp_hdr->arp_data.arp_tha);\n+\trte_ether_addr_copy(dst_mac, &arp_hdr->arp_data.arp_tha);\n \tarp_hdr->arp_data.arp_tip = dst_ip;\n }\n \ndiff --git a/test/test/test_link_bonding.c b/test/test/test_link_bonding.c\nindex 25276715a..00ddb0efe 100644\n--- a/test/test/test_link_bonding.c\n+++ b/test/test/test_link_bonding.c\n@@ -4482,7 +4482,7 @@ test_alb_change_mac_in_reply_sent(void)\n \t\t\t\tMAX_PKT_BURST);\n \t}\n \n-\tether_addr_copy(\n+\trte_ether_addr_copy(\n \t\t\trte_eth_devices[test_params->bonded_port_id].data->mac_addrs,\n \t\t\t&bond_mac);\n \n@@ -4549,12 +4549,12 @@ test_alb_change_mac_in_reply_sent(void)\n \t\t\tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt + sizeof(struct rte_ether_hdr));\n \n \t\t\tif (slave_idx%2 == 0) {\n-\t\t\t\tif (!is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n+\t\t\t\tif (!rte_is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n \t\t\t\t\tretval = -1;\n \t\t\t\t\tgoto test_end;\n \t\t\t\t}\n \t\t\t} else {\n-\t\t\t\tif (!is_same_ether_addr(slave_mac2, &arp_pkt->arp_data.arp_sha)) {\n+\t\t\t\tif (!rte_is_same_ether_addr(slave_mac2, &arp_pkt->arp_data.arp_sha)) {\n \t\t\t\t\tretval = -1;\n \t\t\t\t\tgoto test_end;\n \t\t\t\t}\n@@ -4595,7 +4595,7 @@ test_alb_reply_from_client(void)\n \t\t\t\tMAX_PKT_BURST);\n \t}\n \n-\tether_addr_copy(\n+\trte_ether_addr_copy(\n \t\t\trte_eth_devices[test_params->bonded_port_id].data->mac_addrs,\n \t\t\t&bond_mac);\n \n@@ -4670,12 +4670,12 @@ test_alb_reply_from_client(void)\n \t\t\tarp_pkt = (struct rte_arp_hdr *)((char *)eth_pkt + sizeof(struct rte_ether_hdr));\n \n \t\t\tif (slave_idx%2 == 0) {\n-\t\t\t\tif (!is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n+\t\t\t\tif (!rte_is_same_ether_addr(slave_mac1, &arp_pkt->arp_data.arp_sha)) {\n \t\t\t\t\tretval = -1;\n \t\t\t\t\tgoto test_end;\n \t\t\t\t}\n \t\t\t} else {\n-\t\t\t\tif (!is_same_ether_addr(slave_mac2, &arp_pkt->arp_data.arp_sha)) {\n+\t\t\t\tif (!rte_is_same_ether_addr(slave_mac2, &arp_pkt->arp_data.arp_sha)) {\n \t\t\t\t\tretval = -1;\n \t\t\t\t\tgoto test_end;\n \t\t\t\t}\n@@ -4722,7 +4722,7 @@ test_alb_receive_vlan_reply(void)\n \t\t\t\tMAX_PKT_BURST);\n \t}\n \n-\tether_addr_copy(\n+\trte_ether_addr_copy(\n \t\t\trte_eth_devices[test_params->bonded_port_id].data->mac_addrs,\n \t\t\t&bond_mac);\n \ndiff --git a/test/test/test_link_bonding_mode4.c b/test/test/test_link_bonding_mode4.c\nindex 63fcafaea..f5ac6c99f 100644\n--- a/test/test/test_link_bonding_mode4.c\n+++ b/test/test/test_link_bonding_mode4.c\n@@ -232,7 +232,7 @@ add_slave(struct slave_conf *slave, uint8_t start)\n \tRTE_VERIFY(slave->bonded == 0);\n \tRTE_VERIFY(slave->port_id != INVALID_PORT_ID);\n \n-\tether_addr_copy(&slave_mac_default, &addr);\n+\trte_ether_addr_copy(&slave_mac_default, &addr);\n \taddr.addr_bytes[ETHER_ADDR_LEN - 1] = slave->port_id;\n \n \trte_eth_dev_mac_addr_remove(slave->port_id, &addr);\n@@ -253,7 +253,7 @@ add_slave(struct slave_conf *slave, uint8_t start)\n \t}\n \n \trte_eth_macaddr_get(slave->port_id, &addr_check);\n-\tTEST_ASSERT_EQUAL(is_same_ether_addr(&addr, &addr_check), 1,\n+\tTEST_ASSERT_EQUAL(rte_is_same_ether_addr(&addr, &addr_check), 1,\n \t\t\t\"Slave MAC address is not as expected\");\n \n \tRTE_VERIFY(slave->lacp_parnter_state == 0);\n@@ -491,7 +491,7 @@ make_lacp_reply(struct slave_conf *slave, struct rte_mbuf *pkt)\n \tslow_hdr = rte_pktmbuf_mtod(pkt, struct slow_protocol_frame *);\n \n \t/* Change source address to partner address */\n-\tether_addr_copy(&parnter_mac_default, &slow_hdr->eth_hdr.s_addr);\n+\trte_ether_addr_copy(&parnter_mac_default, &slow_hdr->eth_hdr.s_addr);\n \tslow_hdr->eth_hdr.s_addr.addr_bytes[ETHER_ADDR_LEN - 1] = slave->port_id;\n \n \tlacp = (struct lacpdu *) &slow_hdr->slow_protocol;\n@@ -503,7 +503,7 @@ make_lacp_reply(struct slave_conf *slave, struct rte_mbuf *pkt)\n \n \tlacp->partner.state = lacp->actor.state;\n \n-\tether_addr_copy(&parnter_system, &lacp->actor.port_params.system);\n+\trte_ether_addr_copy(&parnter_system, &lacp->actor.port_params.system);\n \tlacp->actor.state = STATE_LACP_ACTIVE |\n \t\t\t\t\t\tSTATE_SYNCHRONIZATION |\n \t\t\t\t\t\tSTATE_AGGREGATION |\n@@ -810,7 +810,7 @@ test_mode4_rx(void)\n \tTEST_ASSERT_SUCCESS(retval, \"Initial handshake failed\");\n \n \trte_eth_macaddr_get(test_params.bonded_port_id, &bonded_mac);\n-\tether_addr_copy(&bonded_mac, &dst_mac);\n+\trte_ether_addr_copy(&bonded_mac, &dst_mac);\n \n \t/* Assert that dst address is not bonding address.  Do not set the\n \t * least significant bit of the zero byte as this would create a\n@@ -845,7 +845,7 @@ test_mode4_rx(void)\n \n \t\tfor (i = 0; i < expected_pkts_cnt; i++) {\n \t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct rte_ether_hdr *);\n-\t\t\tcnt[is_same_ether_addr(&hdr->d_addr, &bonded_mac)]++;\n+\t\t\tcnt[rte_is_same_ether_addr(&hdr->d_addr, &bonded_mac)]++;\n \t\t}\n \n \t\tfree_pkts(pkts, expected_pkts_cnt);\n@@ -889,7 +889,7 @@ test_mode4_rx(void)\n \n \t\tfor (i = 0; i < expected_pkts_cnt; i++) {\n \t\t\thdr = rte_pktmbuf_mtod(pkts[i], struct rte_ether_hdr *);\n-\t\t\teq_cnt += is_same_ether_addr(&hdr->d_addr, &bonded_mac);\n+\t\t\teq_cnt += rte_is_same_ether_addr(&hdr->d_addr, &bonded_mac);\n \t\t}\n \n \t\tfree_pkts(pkts, expected_pkts_cnt);\n@@ -1130,10 +1130,10 @@ init_marker(struct rte_mbuf *pkt, struct slave_conf *slave)\n \t\t\tstruct marker_header *);\n \n \t/* Copy multicast destination address */\n-\tether_addr_copy(&slow_protocol_mac_addr, &marker_hdr->eth_hdr.d_addr);\n+\trte_ether_addr_copy(&slow_protocol_mac_addr, &marker_hdr->eth_hdr.d_addr);\n \n \t/* Init source address */\n-\tether_addr_copy(&parnter_mac_default, &marker_hdr->eth_hdr.s_addr);\n+\trte_ether_addr_copy(&parnter_mac_default, &marker_hdr->eth_hdr.s_addr);\n \tmarker_hdr->eth_hdr.s_addr.addr_bytes[ETHER_ADDR_LEN-1] = slave->port_id;\n \n \tmarker_hdr->eth_hdr.ether_type = rte_cpu_to_be_16(ETHER_TYPE_SLOW);\n@@ -1358,8 +1358,8 @@ test_mode4_ext_ctrl(void)\n \t\t},\n \t};\n \n-\tether_addr_copy(&parnter_system, &src_mac);\n-\tether_addr_copy(&slow_protocol_mac_addr, &dst_mac);\n+\trte_ether_addr_copy(&parnter_system, &src_mac);\n+\trte_ether_addr_copy(&slow_protocol_mac_addr, &dst_mac);\n \n \tinitialize_eth_header(&lacpdu.eth_hdr, &src_mac, &dst_mac,\n \t\t\t      ETHER_TYPE_SLOW, 0, 0);\n@@ -1412,8 +1412,8 @@ test_mode4_ext_lacp(void)\n \t\t},\n \t};\n \n-\tether_addr_copy(&parnter_system, &src_mac);\n-\tether_addr_copy(&slow_protocol_mac_addr, &dst_mac);\n+\trte_ether_addr_copy(&parnter_system, &src_mac);\n+\trte_ether_addr_copy(&slow_protocol_mac_addr, &dst_mac);\n \n \tinitialize_eth_header(&lacpdu.eth_hdr, &src_mac, &dst_mac,\n \t\t\t      ETHER_TYPE_SLOW, 0, 0);\ndiff --git a/test/test/test_pmd_perf.c b/test/test/test_pmd_perf.c\nindex 1265cb86f..3660efff4 100644\n--- a/test/test/test_pmd_perf.c\n+++ b/test/test/test_pmd_perf.c\n@@ -174,7 +174,7 @@ static void\n print_ethaddr(const char *name, const struct rte_ether_addr *eth_addr)\n {\n \tchar buf[ETHER_ADDR_FMT_SIZE];\n-\tether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n+\trte_ether_format_addr(buf, ETHER_ADDR_FMT_SIZE, eth_addr);\n \tprintf(\"%s%s\", name, buf);\n }\n \n",
    "prefixes": [
        "RFC",
        "04/14"
    ]
}