get:
Show a patch.

patch:
Update a patch.

put:
Update a patch.

GET /api/patches/47300/?format=api
HTTP 200 OK
Allow: GET, PUT, PATCH, HEAD, OPTIONS
Content-Type: application/json
Vary: Accept

{
    "id": 47300,
    "url": "http://patchwork.dpdk.org/api/patches/47300/?format=api",
    "web_url": "http://patchwork.dpdk.org/project/dpdk/patch/20181024081833.21432-15-olivier.matz@6wind.com/",
    "project": {
        "id": 1,
        "url": "http://patchwork.dpdk.org/api/projects/1/?format=api",
        "name": "DPDK",
        "link_name": "dpdk",
        "list_id": "dev.dpdk.org",
        "list_email": "dev@dpdk.org",
        "web_url": "http://core.dpdk.org",
        "scm_url": "git://dpdk.org/dpdk",
        "webscm_url": "http://git.dpdk.org/dpdk",
        "list_archive_url": "https://inbox.dpdk.org/dev",
        "list_archive_url_format": "https://inbox.dpdk.org/dev/{}",
        "commit_url_format": ""
    },
    "msgid": "<20181024081833.21432-15-olivier.matz@6wind.com>",
    "list_archive_url": "https://inbox.dpdk.org/dev/20181024081833.21432-15-olivier.matz@6wind.com",
    "date": "2018-10-24T08:18:33",
    "name": "[RFC,14/14] net: add rte prefix to udp structure",
    "commit_ref": null,
    "pull_url": null,
    "state": "superseded",
    "archived": true,
    "hash": "138353789b118eb681755830cdc20ffe57aece04",
    "submitter": {
        "id": 8,
        "url": "http://patchwork.dpdk.org/api/people/8/?format=api",
        "name": "Olivier Matz",
        "email": "olivier.matz@6wind.com"
    },
    "delegate": {
        "id": 319,
        "url": "http://patchwork.dpdk.org/api/users/319/?format=api",
        "username": "fyigit",
        "first_name": "Ferruh",
        "last_name": "Yigit",
        "email": "ferruh.yigit@amd.com"
    },
    "mbox": "http://patchwork.dpdk.org/project/dpdk/patch/20181024081833.21432-15-olivier.matz@6wind.com/mbox/",
    "series": [
        {
            "id": 2053,
            "url": "http://patchwork.dpdk.org/api/series/2053/?format=api",
            "web_url": "http://patchwork.dpdk.org/project/dpdk/list/?series=2053",
            "date": "2018-10-24T08:18:21",
            "name": "prefix network structures",
            "version": 1,
            "mbox": "http://patchwork.dpdk.org/series/2053/mbox/"
        }
    ],
    "comments": "http://patchwork.dpdk.org/api/patches/47300/comments/",
    "check": "warning",
    "checks": "http://patchwork.dpdk.org/api/patches/47300/checks/",
    "tags": {},
    "related": [],
    "headers": {
        "Return-Path": "<dev-bounces@dpdk.org>",
        "X-Original-To": "patchwork@dpdk.org",
        "Delivered-To": "patchwork@dpdk.org",
        "Received": [
            "from [92.243.14.124] (localhost [127.0.0.1])\n\tby dpdk.org (Postfix) with ESMTP id 949AE1B137;\n\tWed, 24 Oct 2018 10:19:42 +0200 (CEST)",
            "from proxy.6wind.com (host.76.145.23.62.rev.coltfrance.com\n\t[62.23.145.76]) by dpdk.org (Postfix) with ESMTP id 4DCB95689\n\tfor <dev@dpdk.org>; Wed, 24 Oct 2018 10:19:02 +0200 (CEST)",
            "from glumotte.dev.6wind.com. (unknown [10.16.0.195])\n\tby proxy.6wind.com (Postfix) with ESMTP id 5E0BB208A9F\n\tfor <dev@dpdk.org>; Wed, 24 Oct 2018 10:15:08 +0200 (CEST)"
        ],
        "From": "Olivier Matz <olivier.matz@6wind.com>",
        "To": "dev@dpdk.org",
        "Date": "Wed, 24 Oct 2018 10:18:33 +0200",
        "Message-Id": "<20181024081833.21432-15-olivier.matz@6wind.com>",
        "X-Mailer": "git-send-email 2.11.0",
        "In-Reply-To": "<20181024081833.21432-1-olivier.matz@6wind.com>",
        "References": "<20181024081833.21432-1-olivier.matz@6wind.com>",
        "Subject": "[dpdk-dev] [RFC 14/14] net: add rte prefix to udp structure",
        "X-BeenThere": "dev@dpdk.org",
        "X-Mailman-Version": "2.1.15",
        "Precedence": "list",
        "List-Id": "DPDK patches and discussions <dev.dpdk.org>",
        "List-Unsubscribe": "<https://mails.dpdk.org/options/dev>,\n\t<mailto:dev-request@dpdk.org?subject=unsubscribe>",
        "List-Archive": "<http://mails.dpdk.org/archives/dev/>",
        "List-Post": "<mailto:dev@dpdk.org>",
        "List-Help": "<mailto:dev-request@dpdk.org?subject=help>",
        "List-Subscribe": "<https://mails.dpdk.org/listinfo/dev>,\n\t<mailto:dev-request@dpdk.org?subject=subscribe>",
        "Errors-To": "dev-bounces@dpdk.org",
        "Sender": "\"dev\" <dev-bounces@dpdk.org>"
    },
    "content": "Add 'rte_' prefix to structures:\n- rename struct udp_hdr as struct rte_udp_hdr.\n\nSigned-off-by: Olivier Matz <olivier.matz@6wind.com>\n---\n app/test-pmd/csumonly.c                | 20 ++++++++++----------\n app/test-pmd/flowgen.c                 |  4 ++--\n app/test-pmd/txonly.c                  |  8 ++++----\n app/test-pmd/util.c                    |  6 +++---\n drivers/net/avf/avf_rxtx.c             |  2 +-\n drivers/net/bonding/rte_eth_bond_pmd.c |  6 +++---\n drivers/net/dpaa/dpaa_rxtx.c           |  2 +-\n drivers/net/e1000/em_rxtx.c            |  2 +-\n drivers/net/e1000/igb_rxtx.c           |  2 +-\n drivers/net/enic/enic_clsf.c           |  8 ++++----\n drivers/net/enic/enic_flow.c           | 14 +++++++-------\n drivers/net/i40e/i40e_fdir.c           | 24 ++++++++++++------------\n drivers/net/i40e/i40e_rxtx.c           |  2 +-\n drivers/net/ixgbe/ixgbe_rxtx.c         |  2 +-\n drivers/net/mlx5/mlx5_flow.c           |  8 ++++----\n drivers/net/qede/qede_filter.c         | 14 +++++++-------\n drivers/net/tap/rte_eth_tap.c          |  2 +-\n drivers/net/virtio/virtio_rxtx.c       |  2 +-\n drivers/net/vmxnet3/vmxnet3_rxtx.c     |  2 +-\n examples/l3fwd-power/main.c            |  8 ++++----\n examples/l3fwd-vf/main.c               |  4 ++--\n examples/tep_termination/vxlan.c       | 16 ++++++++--------\n lib/librte_ethdev/rte_flow.h           |  2 +-\n lib/librte_gro/gro_vxlan_tcp4.c        | 10 +++++-----\n lib/librte_gso/gso_common.h            |  4 ++--\n lib/librte_gso/rte_gso.h               |  2 +-\n lib/librte_net/rte_ether.h             |  4 ++--\n lib/librte_net/rte_net.c               |  4 ++--\n lib/librte_net/rte_net.h               |  6 +++---\n lib/librte_net/rte_udp.h               |  2 +-\n lib/librte_pipeline/rte_table_action.c | 32 ++++++++++++++++----------------\n lib/librte_vhost/virtio_net.c          |  6 +++---\n test/test/packet_burst_generator.c     | 12 ++++++------\n test/test/packet_burst_generator.h     |  4 ++--\n test/test/test_flow_classify.c         |  2 +-\n test/test/test_link_bonding.c          |  4 ++--\n test/test/test_link_bonding_mode4.c    |  2 +-\n test/test/test_pmd_perf.c              |  2 +-\n 38 files changed, 128 insertions(+), 128 deletions(-)",
    "diff": "diff --git a/app/test-pmd/csumonly.c b/app/test-pmd/csumonly.c\nindex bcff65eee..532d1e569 100644\n--- a/app/test-pmd/csumonly.c\n+++ b/app/test-pmd/csumonly.c\n@@ -172,7 +172,7 @@ parse_ethernet(struct rte_ether_hdr *eth_hdr, struct testpmd_offload_info *info)\n \n /* Parse a vxlan header */\n static void\n-parse_vxlan(struct udp_hdr *udp_hdr,\n+parse_vxlan(struct rte_udp_hdr *udp_hdr,\n \t    struct testpmd_offload_info *info,\n \t    uint32_t pkt_type)\n {\n@@ -192,7 +192,7 @@ parse_vxlan(struct udp_hdr *udp_hdr,\n \tinfo->outer_l4_proto = info->l4_proto;\n \n \teth_hdr = (struct rte_ether_hdr *)((char *)udp_hdr +\n-\t\tsizeof(struct udp_hdr) +\n+\t\tsizeof(struct rte_udp_hdr) +\n \t\tsizeof(struct rte_vxlan_hdr));\n \n \tparse_ethernet(eth_hdr, info);\n@@ -201,7 +201,7 @@ parse_vxlan(struct udp_hdr *udp_hdr,\n \n /* Parse a vxlan-gpe header */\n static void\n-parse_vxlan_gpe(struct udp_hdr *udp_hdr,\n+parse_vxlan_gpe(struct rte_udp_hdr *udp_hdr,\n \t    struct testpmd_offload_info *info)\n {\n \tstruct rte_ether_hdr *eth_hdr;\n@@ -215,7 +215,7 @@ parse_vxlan_gpe(struct udp_hdr *udp_hdr,\n \t\treturn;\n \n \tvxlan_gpe_hdr = (struct rte_vxlan_gpe_hdr *)((char *)udp_hdr +\n-\t\t\t\tsizeof(struct udp_hdr));\n+\t\t\t\tsizeof(struct rte_udp_hdr));\n \n \tif (!vxlan_gpe_hdr->proto || vxlan_gpe_hdr->proto ==\n \t    RTE_VXLAN_GPE_TYPE_IPV4) {\n@@ -359,7 +359,7 @@ process_inner_cksums(void *l3_hdr, const struct testpmd_offload_info *info,\n \tuint64_t tx_offloads)\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr = l3_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct rte_sctp_hdr *sctp_hdr;\n \tuint64_t ol_flags = 0;\n@@ -399,7 +399,7 @@ process_inner_cksums(void *l3_hdr, const struct testpmd_offload_info *info,\n \t\treturn 0; /* packet type not supported, nothing to do */\n \n \tif (info->l4_proto == IPPROTO_UDP) {\n-\t\tudp_hdr = (struct udp_hdr *)((char *)l3_hdr + info->l3_len);\n+\t\tudp_hdr = (struct rte_udp_hdr *)((char *)l3_hdr + info->l3_len);\n \t\t/* do not recalculate udp cksum if it was 0 */\n \t\tif (udp_hdr->dgram_cksum != 0) {\n \t\t\tudp_hdr->dgram_cksum = 0;\n@@ -451,7 +451,7 @@ process_outer_cksums(void *outer_l3_hdr, struct testpmd_offload_info *info,\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr = outer_l3_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr = outer_l3_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tuint64_t ol_flags = 0;\n \n \tif (info->outer_ethertype == _htons(RTE_ETHER_TYPE_IPv4)) {\n@@ -474,7 +474,7 @@ process_outer_cksums(void *outer_l3_hdr, struct testpmd_offload_info *info,\n \t\treturn ol_flags;\n \t}\n \n-\tudp_hdr = (struct udp_hdr *)((char *)outer_l3_hdr + info->outer_l3_len);\n+\tudp_hdr = (struct rte_udp_hdr *)((char *)outer_l3_hdr + info->outer_l3_len);\n \n \t/* outer UDP checksum is done in software. In the other side, for\n \t * UDP tunneling, like VXLAN or Geneve, outer UDP checksum can be\n@@ -772,9 +772,9 @@ pkt_burst_checksum_forward(struct fwd_stream *fs)\n \t\t/* check if it's a supported tunnel */\n \t\tif (txp->parse_tunnel) {\n \t\t\tif (info.l4_proto == IPPROTO_UDP) {\n-\t\t\t\tstruct udp_hdr *udp_hdr;\n+\t\t\t\tstruct rte_udp_hdr *udp_hdr;\n \n-\t\t\t\tudp_hdr = (struct udp_hdr *)((char *)l3_hdr +\n+\t\t\t\tudp_hdr = (struct rte_udp_hdr *)((char *)l3_hdr +\n \t\t\t\t\tinfo.l3_len);\n \t\t\t\tparse_vxlan_gpe(udp_hdr, &info);\n \t\t\t\tif (info.is_tunnel) {\ndiff --git a/app/test-pmd/flowgen.c b/app/test-pmd/flowgen.c\nindex 0405fe9b6..0a202467b 100644\n--- a/app/test-pmd/flowgen.c\n+++ b/app/test-pmd/flowgen.c\n@@ -121,7 +121,7 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \tstruct rte_mbuf  *pkt;\n \tstruct rte_ether_hdr *eth_hdr;\n \tstruct rte_ipv4_hdr *ip_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tuint16_t vlan_tci, vlan_tci_outer;\n \tuint64_t ol_flags;\n \tuint16_t nb_rx;\n@@ -193,7 +193,7 @@ pkt_burst_flow_gen(struct fwd_stream *fs)\n \t\t\t\t\t\t sizeof(*ip_hdr));\n \n \t\t/* Initialize UDP header. */\n-\t\tudp_hdr = (struct udp_hdr *)(ip_hdr + 1);\n+\t\tudp_hdr = (struct rte_udp_hdr *)(ip_hdr + 1);\n \t\tudp_hdr->src_port\t= rte_cpu_to_be_16(cfg_udp_src);\n \t\tudp_hdr->dst_port\t= rte_cpu_to_be_16(cfg_udp_dst);\n \t\tudp_hdr->dgram_cksum\t= 0; /* No UDP checksum. */\ndiff --git a/app/test-pmd/txonly.c b/app/test-pmd/txonly.c\nindex 5d76e471e..dd70d96a8 100644\n--- a/app/test-pmd/txonly.c\n+++ b/app/test-pmd/txonly.c\n@@ -52,7 +52,7 @@\n #define IP_VHL_DEF (IP_VERSION | IP_HDRLEN)\n \n static struct rte_ipv4_hdr  pkt_ip_hdr;  /**< IP header of transmitted packets. */\n-static struct udp_hdr pkt_udp_hdr; /**< UDP header of transmitted packets. */\n+static struct rte_udp_hdr pkt_udp_hdr; /**< UDP header of transmitted packets. */\n \n static void\n copy_buf_to_pkt_segs(void* buf, unsigned len, struct rte_mbuf *pkt,\n@@ -93,7 +93,7 @@ copy_buf_to_pkt(void* buf, unsigned len, struct rte_mbuf *pkt, unsigned offset)\n \n static void\n setup_pkt_udp_ip_headers(struct rte_ipv4_hdr *ip_hdr,\n-\t\t\t struct udp_hdr *udp_hdr,\n+\t\t\t struct rte_udp_hdr *udp_hdr,\n \t\t\t uint16_t pkt_data_len)\n {\n \tuint16_t *ptr16;\n@@ -103,7 +103,7 @@ setup_pkt_udp_ip_headers(struct rte_ipv4_hdr *ip_hdr,\n \t/*\n \t * Initialize UDP header.\n \t */\n-\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct udp_hdr));\n+\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct rte_udp_hdr));\n \tudp_hdr->src_port = rte_cpu_to_be_16(UDP_SRC_PORT);\n \tudp_hdr->dst_port = rte_cpu_to_be_16(UDP_DST_PORT);\n \tudp_hdr->dgram_len      = RTE_CPU_TO_BE_16(pkt_len);\n@@ -298,7 +298,7 @@ tx_only_begin(__attribute__((unused)) portid_t pi)\n \n \tpkt_data_len = (uint16_t) (tx_pkt_length - (sizeof(struct rte_ether_hdr) +\n \t\t\t\t\t\t    sizeof(struct rte_ipv4_hdr) +\n-\t\t\t\t\t\t    sizeof(struct udp_hdr)));\n+\t\t\t\t\t\t    sizeof(struct rte_udp_hdr)));\n \tsetup_pkt_udp_ip_headers(&pkt_ip_hdr, &pkt_udp_hdr, pkt_data_len);\n }\n \ndiff --git a/app/test-pmd/util.c b/app/test-pmd/util.c\nindex fe8b18bd3..cd0495802 100644\n--- a/app/test-pmd/util.c\n+++ b/app/test-pmd/util.c\n@@ -104,7 +104,7 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t\tif (is_encapsulation) {\n \t\t\tstruct rte_ipv4_hdr *ipv4_hdr;\n \t\t\tstruct rte_ipv6_hdr *ipv6_hdr;\n-\t\t\tstruct udp_hdr *udp_hdr;\n+\t\t\tstruct rte_udp_hdr *udp_hdr;\n \t\t\tuint8_t l2_len;\n \t\t\tuint8_t l3_len;\n \t\t\tuint8_t l4_len;\n@@ -129,9 +129,9 @@ dump_pkt_burst(uint16_t port_id, uint16_t queue, struct rte_mbuf *pkts[],\n \t\t\t}\n \t\t\tif (l4_proto == IPPROTO_UDP) {\n \t\t\t\tudp_hdr = rte_pktmbuf_mtod_offset(mb,\n-\t\t\t\tstruct udp_hdr *,\n+\t\t\t\tstruct rte_udp_hdr *,\n \t\t\t\tl2_len + l3_len);\n-\t\t\t\tl4_len = sizeof(struct udp_hdr);\n+\t\t\t\tl4_len = sizeof(struct rte_udp_hdr);\n \t\t\t\tvxlan_hdr = rte_pktmbuf_mtod_offset(mb,\n \t\t\t\tstruct rte_vxlan_hdr *,\n \t\t\t\tl2_len + l3_len + l4_len);\ndiff --git a/drivers/net/avf/avf_rxtx.c b/drivers/net/avf/avf_rxtx.c\nindex d3a63e6c0..5aebbd3fe 100644\n--- a/drivers/net/avf/avf_rxtx.c\n+++ b/drivers/net/avf/avf_rxtx.c\n@@ -1427,7 +1427,7 @@ avf_txd_enable_checksum(uint64_t ol_flags,\n \t\tbreak;\n \tcase PKT_TX_UDP_CKSUM:\n \t\t*td_cmd |= AVF_TX_DESC_CMD_L4T_EOFT_UDP;\n-\t\t*td_offset |= (sizeof(struct udp_hdr) >> 2) <<\n+\t\t*td_offset |= (sizeof(struct rte_udp_hdr) >> 2) <<\n \t\t\t      AVF_TX_DESC_LENGTH_L4_FC_LEN_SHIFT;\n \t\tbreak;\n \tdefault:\ndiff --git a/drivers/net/bonding/rte_eth_bond_pmd.c b/drivers/net/bonding/rte_eth_bond_pmd.c\nindex 8a6d52ea9..a1409cdb3 100644\n--- a/drivers/net/bonding/rte_eth_bond_pmd.c\n+++ b/drivers/net/bonding/rte_eth_bond_pmd.c\n@@ -834,7 +834,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \tsize_t vlan_offset;\n \tint i;\n \n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tstruct rte_tcp_hdr *tcp_hdr;\n \tuint32_t hash, l3hash, l4hash;\n \n@@ -866,7 +866,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\t\t\t\tl4hash = HASH_L4_PORTS(tcp_hdr);\n \t\t\t\t} else if (ipv4_hdr->next_proto_id ==\n \t\t\t\t\t\t\t\tIPPROTO_UDP) {\n-\t\t\t\t\tudp_hdr = (struct udp_hdr *)\n+\t\t\t\t\tudp_hdr = (struct rte_udp_hdr *)\n \t\t\t\t\t\t((char *)ipv4_hdr +\n \t\t\t\t\t\t\tip_hdr_offset);\n \t\t\t\t\tl4hash = HASH_L4_PORTS(udp_hdr);\n@@ -881,7 +881,7 @@ burst_xmit_l34_hash(struct rte_mbuf **buf, uint16_t nb_pkts,\n \t\t\t\ttcp_hdr = (struct rte_tcp_hdr *)(ipv6_hdr + 1);\n \t\t\t\tl4hash = HASH_L4_PORTS(tcp_hdr);\n \t\t\t} else if (ipv6_hdr->proto == IPPROTO_UDP) {\n-\t\t\t\tudp_hdr = (struct udp_hdr *)(ipv6_hdr + 1);\n+\t\t\t\tudp_hdr = (struct rte_udp_hdr *)(ipv6_hdr + 1);\n \t\t\t\tl4hash = HASH_L4_PORTS(udp_hdr);\n \t\t\t}\n \t\t}\ndiff --git a/drivers/net/dpaa/dpaa_rxtx.c b/drivers/net/dpaa/dpaa_rxtx.c\nindex fb7f3cd52..a50cc4cd6 100644\n--- a/drivers/net/dpaa/dpaa_rxtx.c\n+++ b/drivers/net/dpaa/dpaa_rxtx.c\n@@ -229,7 +229,7 @@ static inline void dpaa_checksum(struct rte_mbuf *mbuf)\n \t\t\t\t\t\t\t       tcp_hdr);\n \t} else if ((mbuf->packet_type & RTE_PTYPE_L4_MASK) ==\n \t\t   RTE_PTYPE_L4_UDP) {\n-\t\tstruct udp_hdr *udp_hdr = (struct udp_hdr *)(l3_hdr +\n+\t\tstruct rte_udp_hdr *udp_hdr = (struct rte_udp_hdr *)(l3_hdr +\n \t\t\t\t\t\t\t     mbuf->l3_len);\n \t\tudp_hdr->dgram_cksum = 0;\n \t\tif (eth_hdr->ether_type == htons(RTE_ETHER_TYPE_IPv4))\ndiff --git a/drivers/net/e1000/em_rxtx.c b/drivers/net/e1000/em_rxtx.c\nindex 27146607c..e81ac204b 100644\n--- a/drivers/net/e1000/em_rxtx.c\n+++ b/drivers/net/e1000/em_rxtx.c\n@@ -242,7 +242,7 @@ em_set_xmit_ctx(struct em_tx_queue* txq,\n \tswitch (flags & PKT_TX_L4_MASK) {\n \tcase PKT_TX_UDP_CKSUM:\n \t\tctx.upper_setup.tcp_fields.tucso = (uint8_t)(ipcse +\n-\t\t\t\toffsetof(struct udp_hdr, dgram_cksum));\n+\t\t\t\toffsetof(struct rte_udp_hdr, dgram_cksum));\n \t\tcmp_mask |= TX_MACIP_LEN_CMP_MASK;\n \t\tbreak;\n \tcase PKT_TX_TCP_CKSUM:\ndiff --git a/drivers/net/e1000/igb_rxtx.c b/drivers/net/e1000/igb_rxtx.c\nindex 9046d21a9..acf6b98e3 100644\n--- a/drivers/net/e1000/igb_rxtx.c\n+++ b/drivers/net/e1000/igb_rxtx.c\n@@ -285,7 +285,7 @@ igbe_set_xmit_ctx(struct igb_tx_queue* txq,\n \t\tcase PKT_TX_UDP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= E1000_ADVTXD_TUCMD_L4T_UDP |\n \t\t\t\tE1000_ADVTXD_DTYP_CTXT | E1000_ADVTXD_DCMD_DEXT;\n-\t\t\tmss_l4len_idx |= sizeof(struct udp_hdr) << E1000_ADVTXD_L4LEN_SHIFT;\n+\t\t\tmss_l4len_idx |= sizeof(struct rte_udp_hdr) << E1000_ADVTXD_L4LEN_SHIFT;\n \t\t\tbreak;\n \t\tcase PKT_TX_TCP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= E1000_ADVTXD_TUCMD_L4T_TCP |\ndiff --git a/drivers/net/enic/enic_clsf.c b/drivers/net/enic/enic_clsf.c\nindex c9d898044..faab12d92 100644\n--- a/drivers/net/enic/enic_clsf.c\n+++ b/drivers/net/enic/enic_clsf.c\n@@ -116,7 +116,7 @@ copy_fltr_v2(struct filter_v2 *fltr, struct rte_eth_fdir_input *input,\n \tmemset(gp, 0, sizeof(*gp));\n \n \tif (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV4_UDP) {\n-\t\tstruct udp_hdr udp_mask, udp_val;\n+\t\tstruct rte_udp_hdr udp_mask, udp_val;\n \t\tmemset(&udp_mask, 0, sizeof(udp_mask));\n \t\tmemset(&udp_val, 0, sizeof(udp_val));\n \n@@ -130,7 +130,7 @@ copy_fltr_v2(struct filter_v2 *fltr, struct rte_eth_fdir_input *input,\n \t\t}\n \n \t\tenic_set_layer(gp, FILTER_GENERIC_1_UDP, FILTER_GENERIC_1_L4,\n-\t\t\t       &udp_mask, &udp_val, sizeof(struct udp_hdr));\n+\t\t\t       &udp_mask, &udp_val, sizeof(struct rte_udp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV4_TCP) {\n \t\tstruct rte_tcp_hdr tcp_mask, tcp_val;\n \t\tmemset(&tcp_mask, 0, sizeof(tcp_mask));\n@@ -206,7 +206,7 @@ copy_fltr_v2(struct filter_v2 *fltr, struct rte_eth_fdir_input *input,\n \t}\n \n \tif (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV6_UDP) {\n-\t\tstruct udp_hdr udp_mask, udp_val;\n+\t\tstruct rte_udp_hdr udp_mask, udp_val;\n \t\tmemset(&udp_mask, 0, sizeof(udp_mask));\n \t\tmemset(&udp_val, 0, sizeof(udp_val));\n \n@@ -219,7 +219,7 @@ copy_fltr_v2(struct filter_v2 *fltr, struct rte_eth_fdir_input *input,\n \t\t\tudp_val.dst_port = input->flow.udp6_flow.dst_port;\n \t\t}\n \t\tenic_set_layer(gp, FILTER_GENERIC_1_UDP, FILTER_GENERIC_1_L4,\n-\t\t\t       &udp_mask, &udp_val, sizeof(struct udp_hdr));\n+\t\t\t       &udp_mask, &udp_val, sizeof(struct rte_udp_hdr));\n \t} else if (input->flow_type == RTE_ETH_FLOW_NONFRAG_IPV6_TCP) {\n \t\tstruct rte_tcp_hdr tcp_mask, tcp_val;\n \t\tmemset(&tcp_mask, 0, sizeof(tcp_mask));\ndiff --git a/drivers/net/enic/enic_flow.c b/drivers/net/enic/enic_flow.c\nindex fd5b2d190..2787bc895 100644\n--- a/drivers/net/enic/enic_flow.c\n+++ b/drivers/net/enic/enic_flow.c\n@@ -397,7 +397,7 @@ enic_copy_item_udp_v1(const struct rte_flow_item *item,\n \tconst struct rte_flow_item_udp *spec = item->spec;\n \tconst struct rte_flow_item_udp *mask = item->mask;\n \tstruct filter_ipv4_5tuple *enic_5tup = &enic_filter->u.ipv4;\n-\tstruct udp_hdr supported_mask = {\n+\tstruct rte_udp_hdr supported_mask = {\n \t\t.src_port = 0xffff,\n \t\t.dst_port = 0xffff,\n \t};\n@@ -735,19 +735,19 @@ enic_copy_item_udp_v2(const struct rte_flow_item *item,\n \n \tif (*inner_ofst == 0) {\n \t\tmemcpy(gp->layer[FILTER_GENERIC_1_L4].mask, &mask->hdr,\n-\t\t       sizeof(struct udp_hdr));\n+\t\t       sizeof(struct rte_udp_hdr));\n \t\tmemcpy(gp->layer[FILTER_GENERIC_1_L4].val, &spec->hdr,\n-\t\t       sizeof(struct udp_hdr));\n+\t\t       sizeof(struct rte_udp_hdr));\n \t} else {\n \t\t/* Inner IPv6 header. Mask/Val start at *inner_ofst into L5 */\n-\t\tif ((*inner_ofst + sizeof(struct udp_hdr)) >\n+\t\tif ((*inner_ofst + sizeof(struct rte_udp_hdr)) >\n \t\t     FILTER_GENERIC_1_KEY_LEN)\n \t\t\treturn ENOTSUP;\n \t\tmemcpy(&gp->layer[FILTER_GENERIC_1_L5].mask[*inner_ofst],\n-\t\t       mask, sizeof(struct udp_hdr));\n+\t\t       mask, sizeof(struct rte_udp_hdr));\n \t\tmemcpy(&gp->layer[FILTER_GENERIC_1_L5].val[*inner_ofst],\n-\t\t       spec, sizeof(struct udp_hdr));\n-\t\t*inner_ofst += sizeof(struct udp_hdr);\n+\t\t       spec, sizeof(struct rte_udp_hdr));\n+\t\t*inner_ofst += sizeof(struct rte_udp_hdr);\n \t}\n \treturn 0;\n }\ndiff --git a/drivers/net/i40e/i40e_fdir.c b/drivers/net/i40e/i40e_fdir.c\nindex 46dfa79b7..40f25febf 100644\n--- a/drivers/net/i40e/i40e_fdir.c\n+++ b/drivers/net/i40e/i40e_fdir.c\n@@ -799,7 +799,7 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n \t\t\t     unsigned char *raw_pkt)\n {\n \tunsigned char *payload, *ptr;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tstruct rte_tcp_hdr *tcp;\n \tstruct rte_sctp_hdr *sctp;\n \tuint8_t size, dst = 0;\n@@ -815,8 +815,8 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n \t/* fill the L4 head */\n \tswitch (fdir_input->flow_type) {\n \tcase RTE_ETH_FLOW_NONFRAG_IPV4_UDP:\n-\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)udp + sizeof(struct udp_hdr);\n+\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)udp + sizeof(struct rte_udp_hdr);\n \t\t/*\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -860,8 +860,8 @@ i40e_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tbreak;\n \n \tcase RTE_ETH_FLOW_NONFRAG_IPV6_UDP:\n-\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)udp + sizeof(struct udp_hdr);\n+\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)udp + sizeof(struct rte_udp_hdr);\n \t\t/*\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -1089,7 +1089,7 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n {\n \tunsigned char *payload = NULL;\n \tunsigned char *ptr;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tstruct rte_tcp_hdr *tcp;\n \tstruct rte_sctp_hdr *sctp;\n \tstruct rte_flow_item_gtp *gtp;\n@@ -1116,8 +1116,8 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \n \t/* fill the L4 head */\n \tif (pctype == I40E_FILTER_PCTYPE_NONF_IPV4_UDP) {\n-\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)udp + sizeof(struct udp_hdr);\n+\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)udp + sizeof(struct rte_udp_hdr);\n \t\t/**\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -1153,8 +1153,8 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \t\tpayload = raw_pkt + len;\n \t\tset_idx = I40E_FLXPLD_L3_IDX;\n \t} else if (pctype == I40E_FILTER_PCTYPE_NONF_IPV6_UDP) {\n-\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n-\t\tpayload = (unsigned char *)udp + sizeof(struct udp_hdr);\n+\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n+\t\tpayload = (unsigned char *)udp + sizeof(struct rte_udp_hdr);\n \t\t/**\n \t\t * The source and destination fields in the transmitted packet\n \t\t * need to be presented in a reversed order with respect\n@@ -1206,12 +1206,12 @@ i40e_flow_fdir_construct_pkt(struct i40e_pf *pf,\n \t\t    cus_pctype->index == I40E_CUSTOMIZED_GTPU_IPV4 ||\n \t\t    cus_pctype->index == I40E_CUSTOMIZED_GTPU_IPV6 ||\n \t\t    cus_pctype->index == I40E_CUSTOMIZED_GTPU) {\n-\t\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n+\t\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n \t\t\tudp->dgram_len =\n \t\t\t\trte_cpu_to_be_16(I40E_FDIR_UDP_DEFAULT_LEN);\n \n \t\t\tgtp = (struct rte_flow_item_gtp *)\n-\t\t\t\t((unsigned char *)udp + sizeof(struct udp_hdr));\n+\t\t\t\t((unsigned char *)udp + sizeof(struct rte_udp_hdr));\n \t\t\tgtp->msg_len =\n \t\t\t\trte_cpu_to_be_16(I40E_FDIR_GTP_DEFAULT_LEN);\n \t\t\tgtp->teid = fdir_input->flow.gtp_flow.teid;\ndiff --git a/drivers/net/i40e/i40e_rxtx.c b/drivers/net/i40e/i40e_rxtx.c\nindex e5f18538f..df7b7dc6d 100644\n--- a/drivers/net/i40e/i40e_rxtx.c\n+++ b/drivers/net/i40e/i40e_rxtx.c\n@@ -308,7 +308,7 @@ i40e_txd_enable_checksum(uint64_t ol_flags,\n \t\tbreak;\n \tcase PKT_TX_UDP_CKSUM:\n \t\t*td_cmd |= I40E_TX_DESC_CMD_L4T_EOFT_UDP;\n-\t\t*td_offset |= (sizeof(struct udp_hdr) >> 2) <<\n+\t\t*td_offset |= (sizeof(struct rte_udp_hdr) >> 2) <<\n \t\t\t\tI40E_TX_DESC_LENGTH_L4_FC_LEN_SHIFT;\n \t\tbreak;\n \tdefault:\ndiff --git a/drivers/net/ixgbe/ixgbe_rxtx.c b/drivers/net/ixgbe/ixgbe_rxtx.c\nindex 036acaa14..1f77257e1 100644\n--- a/drivers/net/ixgbe/ixgbe_rxtx.c\n+++ b/drivers/net/ixgbe/ixgbe_rxtx.c\n@@ -418,7 +418,7 @@ ixgbe_set_xmit_ctx(struct ixgbe_tx_queue *txq,\n \t\tcase PKT_TX_UDP_CKSUM:\n \t\t\ttype_tucmd_mlhl |= IXGBE_ADVTXD_TUCMD_L4T_UDP |\n \t\t\t\tIXGBE_ADVTXD_DTYP_CTXT | IXGBE_ADVTXD_DCMD_DEXT;\n-\t\t\tmss_l4len_idx |= sizeof(struct udp_hdr) << IXGBE_ADVTXD_L4LEN_SHIFT;\n+\t\t\tmss_l4len_idx |= sizeof(struct rte_udp_hdr) << IXGBE_ADVTXD_L4LEN_SHIFT;\n \t\t\ttx_offload_mask.l2_len |= ~0;\n \t\t\ttx_offload_mask.l3_len |= ~0;\n \t\t\tbreak;\ndiff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c\nindex 76af6a8b6..c8c32658d 100644\n--- a/drivers/net/mlx5/mlx5_flow.c\n+++ b/drivers/net/mlx5/mlx5_flow.c\n@@ -2548,11 +2548,11 @@ mlx5_fdir_filter_convert(struct rte_eth_dev *dev,\n \t/* Handle L4. */\n \tswitch (fdir_filter->input.flow_type) {\n \tcase RTE_ETH_FLOW_NONFRAG_IPV4_UDP:\n-\t\tattributes->l4.udp.hdr = (struct udp_hdr){\n+\t\tattributes->l4.udp.hdr = (struct rte_udp_hdr){\n \t\t\t.src_port = input->flow.udp4_flow.src_port,\n \t\t\t.dst_port = input->flow.udp4_flow.dst_port,\n \t\t};\n-\t\tattributes->l4_mask.udp.hdr = (struct udp_hdr){\n+\t\tattributes->l4_mask.udp.hdr = (struct rte_udp_hdr){\n \t\t\t.src_port = mask->src_port_mask,\n \t\t\t.dst_port = mask->dst_port_mask,\n \t\t};\n@@ -2578,11 +2578,11 @@ mlx5_fdir_filter_convert(struct rte_eth_dev *dev,\n \t\t};\n \t\tbreak;\n \tcase RTE_ETH_FLOW_NONFRAG_IPV6_UDP:\n-\t\tattributes->l4.udp.hdr = (struct udp_hdr){\n+\t\tattributes->l4.udp.hdr = (struct rte_udp_hdr){\n \t\t\t.src_port = input->flow.udp6_flow.src_port,\n \t\t\t.dst_port = input->flow.udp6_flow.dst_port,\n \t\t};\n-\t\tattributes->l4_mask.udp.hdr = (struct udp_hdr){\n+\t\tattributes->l4_mask.udp.hdr = (struct rte_udp_hdr){\n \t\t\t.src_port = mask->src_port_mask,\n \t\t\t.dst_port = mask->dst_port_mask,\n \t\t};\ndiff --git a/drivers/net/qede/qede_filter.c b/drivers/net/qede/qede_filter.c\nindex cd762cddb..33eb9fc39 100644\n--- a/drivers/net/qede/qede_filter.c\n+++ b/drivers/net/qede/qede_filter.c\n@@ -459,7 +459,7 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \tuint8_t *raw_pkt;\n \tstruct rte_ipv4_hdr *ip;\n \tstruct rte_ipv6_hdr *ip6;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tstruct rte_tcp_hdr *tcp;\n \tuint16_t len;\n \n@@ -487,13 +487,13 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \t\traw_pkt = (uint8_t *)buff;\n \t\t/* UDP */\n \t\tif (arfs->tuple.ip_proto == IPPROTO_UDP) {\n-\t\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n+\t\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n \t\t\tudp->dst_port = arfs->tuple.dst_port;\n \t\t\tudp->src_port = arfs->tuple.src_port;\n-\t\t\tudp->dgram_len = sizeof(struct udp_hdr);\n-\t\t\tlen += sizeof(struct udp_hdr);\n+\t\t\tudp->dgram_len = sizeof(struct rte_udp_hdr);\n+\t\t\tlen += sizeof(struct rte_udp_hdr);\n \t\t\t/* adjust ip total_length */\n-\t\t\tip->total_length += sizeof(struct udp_hdr);\n+\t\t\tip->total_length += sizeof(struct rte_udp_hdr);\n \t\t\tparams->udp = true;\n \t\t} else { /* TCP */\n \t\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\n@@ -522,10 +522,10 @@ qede_arfs_construct_pkt(struct rte_eth_dev *eth_dev,\n \t\traw_pkt = (uint8_t *)buff;\n \t\t/* UDP */\n \t\tif (arfs->tuple.ip_proto == IPPROTO_UDP) {\n-\t\t\tudp = (struct udp_hdr *)(raw_pkt + len);\n+\t\t\tudp = (struct rte_udp_hdr *)(raw_pkt + len);\n \t\t\tudp->src_port = arfs->tuple.src_port;\n \t\t\tudp->dst_port = arfs->tuple.dst_port;\n-\t\t\tlen += sizeof(struct udp_hdr);\n+\t\t\tlen += sizeof(struct rte_udp_hdr);\n \t\t\tparams->udp = true;\n \t\t} else { /* TCP */\n \t\t\ttcp = (struct rte_tcp_hdr *)(raw_pkt + len);\ndiff --git a/drivers/net/tap/rte_eth_tap.c b/drivers/net/tap/rte_eth_tap.c\nindex dd19f0119..6af14193d 100644\n--- a/drivers/net/tap/rte_eth_tap.c\n+++ b/drivers/net/tap/rte_eth_tap.c\n@@ -473,7 +473,7 @@ tap_tx_l3_cksum(char *packet, uint64_t ol_flags, unsigned int l2_len,\n \n \t\tl4_hdr = packet + l2_len + l3_len;\n \t\tif ((ol_flags & PKT_TX_L4_MASK) == PKT_TX_UDP_CKSUM)\n-\t\t\t*l4_cksum = &((struct udp_hdr *)l4_hdr)->dgram_cksum;\n+\t\t\t*l4_cksum = &((struct rte_udp_hdr *)l4_hdr)->dgram_cksum;\n \t\telse if ((ol_flags & PKT_TX_L4_MASK) == PKT_TX_TCP_CKSUM)\n \t\t\t*l4_cksum = &((struct rte_tcp_hdr *)l4_hdr)->cksum;\n \t\telse\ndiff --git a/drivers/net/virtio/virtio_rxtx.c b/drivers/net/virtio/virtio_rxtx.c\nindex 429beded8..ce00a6b58 100644\n--- a/drivers/net/virtio/virtio_rxtx.c\n+++ b/drivers/net/virtio/virtio_rxtx.c\n@@ -366,7 +366,7 @@ virtqueue_xmit_offload(struct virtio_net_hdr *hdr,\n \t\tswitch (cookie->ol_flags & PKT_TX_L4_MASK) {\n \t\tcase PKT_TX_UDP_CKSUM:\n \t\t\thdr->csum_start = cookie->l2_len + cookie->l3_len;\n-\t\t\thdr->csum_offset = offsetof(struct udp_hdr,\n+\t\t\thdr->csum_offset = offsetof(struct rte_udp_hdr,\n \t\t\t\tdgram_cksum);\n \t\t\thdr->flags = VIRTIO_NET_HDR_F_NEEDS_CSUM;\n \t\t\tbreak;\ndiff --git a/drivers/net/vmxnet3/vmxnet3_rxtx.c b/drivers/net/vmxnet3/vmxnet3_rxtx.c\nindex 8726eabb9..4f5594c6b 100644\n--- a/drivers/net/vmxnet3/vmxnet3_rxtx.c\n+++ b/drivers/net/vmxnet3/vmxnet3_rxtx.c\n@@ -542,7 +542,7 @@ vmxnet3_xmit_pkts(void *tx_queue, struct rte_mbuf **tx_pkts,\n \t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen + offsetof(struct rte_tcp_hdr, cksum);\n \t\t\t\tbreak;\n \t\t\tcase PKT_TX_UDP_CKSUM:\n-\t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen + offsetof(struct udp_hdr, dgram_cksum);\n+\t\t\t\tgdesc->txd.msscof = gdesc->txd.hlen + offsetof(struct rte_udp_hdr, dgram_cksum);\n \t\t\t\tbreak;\n \t\t\tdefault:\n \t\t\t\tPMD_TX_LOG(WARNING, \"requested cksum offload not supported %#llx\",\ndiff --git a/examples/l3fwd-power/main.c b/examples/l3fwd-power/main.c\nindex 72d2c53e1..0e50f55c6 100644\n--- a/examples/l3fwd-power/main.c\n+++ b/examples/l3fwd-power/main.c\n@@ -486,7 +486,7 @@ get_ipv4_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n {\n \tstruct ipv4_5tuple key;\n \tstruct rte_tcp_hdr *tcp;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tint ret = 0;\n \n \tkey.ip_dst = rte_be_to_cpu_32(ipv4_hdr->dst_addr);\n@@ -502,7 +502,7 @@ get_ipv4_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \t\tbreak;\n \n \tcase IPPROTO_UDP:\n-\t\tudp = (struct udp_hdr *)((unsigned char *)ipv4_hdr +\n+\t\tudp = (struct rte_udp_hdr *)((unsigned char *)ipv4_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv4_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(udp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(udp->src_port);\n@@ -525,7 +525,7 @@ get_ipv6_dst_port(struct rte_ipv6_hdr *ipv6_hdr, uint16_t portid,\n {\n \tstruct ipv6_5tuple key;\n \tstruct rte_tcp_hdr *tcp;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tint ret = 0;\n \n \tmemcpy(key.ip_dst, ipv6_hdr->dst_addr, IPV6_ADDR_LEN);\n@@ -542,7 +542,7 @@ get_ipv6_dst_port(struct rte_ipv6_hdr *ipv6_hdr, uint16_t portid,\n \t\tbreak;\n \n \tcase IPPROTO_UDP:\n-\t\tudp = (struct udp_hdr *)((unsigned char *) ipv6_hdr +\n+\t\tudp = (struct rte_udp_hdr *)((unsigned char *) ipv6_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv6_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(udp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(udp->src_port);\ndiff --git a/examples/l3fwd-vf/main.c b/examples/l3fwd-vf/main.c\nindex d57ac262b..0ef469c29 100644\n--- a/examples/l3fwd-vf/main.c\n+++ b/examples/l3fwd-vf/main.c\n@@ -367,7 +367,7 @@ get_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n {\n \tstruct ipv4_5tuple key;\n \tstruct rte_tcp_hdr *tcp;\n-\tstruct udp_hdr *udp;\n+\tstruct rte_udp_hdr *udp;\n \tint ret = 0;\n \n \tkey.ip_dst = rte_be_to_cpu_32(ipv4_hdr->dst_addr);\n@@ -383,7 +383,7 @@ get_dst_port(struct rte_ipv4_hdr *ipv4_hdr, uint16_t portid,\n \t\tbreak;\n \n \tcase IPPROTO_UDP:\n-\t\tudp = (struct udp_hdr *)((unsigned char *) ipv4_hdr +\n+\t\tudp = (struct rte_udp_hdr *)((unsigned char *) ipv4_hdr +\n \t\t\t\t\tsizeof(struct rte_ipv4_hdr));\n \t\tkey.port_dst = rte_be_to_cpu_16(udp->dst_port);\n \t\tkey.port_src = rte_be_to_cpu_16(udp->src_port);\ndiff --git a/examples/tep_termination/vxlan.c b/examples/tep_termination/vxlan.c\nindex 261332adf..166cd8a31 100644\n--- a/examples/tep_termination/vxlan.c\n+++ b/examples/tep_termination/vxlan.c\n@@ -75,7 +75,7 @@ process_inner_cksums(struct rte_ether_hdr *eth_hdr, union tunnel_offload_info *i\n \tuint16_t ethertype;\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tstruct rte_tcp_hdr *tcp_hdr;\n \tstruct rte_sctp_hdr *sctp_hdr;\n \tuint64_t ol_flags = 0;\n@@ -107,7 +107,7 @@ process_inner_cksums(struct rte_ether_hdr *eth_hdr, union tunnel_offload_info *i\n \t\treturn 0; /* packet type not supported, nothing to do */\n \n \tif (l4_proto == IPPROTO_UDP) {\n-\t\tudp_hdr = (struct udp_hdr *)((char *)l3_hdr + info->l3_len);\n+\t\tudp_hdr = (struct rte_udp_hdr *)((char *)l3_hdr + info->l3_len);\n \t\tol_flags |= PKT_TX_UDP_CKSUM;\n \t\tudp_hdr->dgram_cksum = get_psd_sum(l3_hdr,\n \t\t\t\tethertype, ol_flags);\n@@ -139,7 +139,7 @@ decapsulation(struct rte_mbuf *pkt)\n {\n \tuint8_t l4_proto = 0;\n \tuint16_t outer_header_len;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tunion tunnel_offload_info info = { .data = 0 };\n \tstruct rte_ether_hdr *phdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \n@@ -148,7 +148,7 @@ decapsulation(struct rte_mbuf *pkt)\n \tif (l4_proto != IPPROTO_UDP)\n \t\treturn -1;\n \n-\tudp_hdr = (struct udp_hdr *)((char *)phdr +\n+\tudp_hdr = (struct rte_udp_hdr *)((char *)phdr +\n \t\tinfo.outer_l2_len + info.outer_l3_len);\n \n \t/** check udp destination port, 4789 is the default vxlan port\n@@ -158,7 +158,7 @@ decapsulation(struct rte_mbuf *pkt)\n \t\t(pkt->packet_type & RTE_PTYPE_TUNNEL_MASK) == 0)\n \t\treturn -1;\n \touter_header_len = info.outer_l2_len + info.outer_l3_len\n-\t\t+ sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr);\n+\t\t+ sizeof(struct rte_udp_hdr) + sizeof(struct rte_vxlan_hdr);\n \n \trte_pktmbuf_adj(pkt, outer_header_len);\n \n@@ -177,10 +177,10 @@ encapsulation(struct rte_mbuf *m, uint8_t queue_id)\n \t/*Allocate space for new ethernet, IPv4, UDP and VXLAN headers*/\n \tstruct rte_ether_hdr *pneth = (struct rte_ether_hdr *) rte_pktmbuf_prepend(m,\n \t\tsizeof(struct rte_ether_hdr) + sizeof(struct rte_ipv4_hdr)\n-\t\t+ sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr));\n+\t\t+ sizeof(struct rte_udp_hdr) + sizeof(struct rte_vxlan_hdr));\n \n \tstruct rte_ipv4_hdr *ip = (struct rte_ipv4_hdr *) &pneth[1];\n-\tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n+\tstruct rte_udp_hdr *udp = (struct rte_udp_hdr *) &ip[1];\n \tstruct rte_vxlan_hdr *vxlan = (struct rte_vxlan_hdr *) &udp[1];\n \n \t/* convert TX queue ID to vport ID */\n@@ -224,7 +224,7 @@ encapsulation(struct rte_mbuf *m, uint8_t queue_id)\n \t/*UDP HEADER*/\n \tudp->dgram_cksum = 0;\n \tudp->dgram_len = rte_cpu_to_be_16(old_len\n-\t\t\t\t+ sizeof(struct udp_hdr)\n+\t\t\t\t+ sizeof(struct rte_udp_hdr)\n \t\t\t\t+ sizeof(struct rte_vxlan_hdr));\n \n \tudp->dst_port = rte_cpu_to_be_16(vxdev.dst_port);\ndiff --git a/lib/librte_ethdev/rte_flow.h b/lib/librte_ethdev/rte_flow.h\nindex cea95b98a..c27d590a1 100644\n--- a/lib/librte_ethdev/rte_flow.h\n+++ b/lib/librte_ethdev/rte_flow.h\n@@ -685,7 +685,7 @@ static const struct rte_flow_item_icmp rte_flow_item_icmp_mask = {\n  * Matches a UDP header.\n  */\n struct rte_flow_item_udp {\n-\tstruct udp_hdr hdr; /**< UDP header definition. */\n+\tstruct rte_udp_hdr hdr; /**< UDP header definition. */\n };\n \n /** Default mask for RTE_FLOW_ITEM_TYPE_UDP. */\ndiff --git a/lib/librte_gro/gro_vxlan_tcp4.c b/lib/librte_gro/gro_vxlan_tcp4.c\nindex 3cfe20f75..a2b30e3de 100644\n--- a/lib/librte_gro/gro_vxlan_tcp4.c\n+++ b/lib/librte_gro/gro_vxlan_tcp4.c\n@@ -263,7 +263,7 @@ static inline void\n update_vxlan_header(struct gro_vxlan_tcp4_item *item)\n {\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tstruct rte_mbuf *pkt = item->inner_item.firstseg;\n \tuint16_t len;\n \n@@ -275,7 +275,7 @@ update_vxlan_header(struct gro_vxlan_tcp4_item *item)\n \n \t/* Update the outer UDP header. */\n \tlen -= pkt->outer_l3_len;\n-\tudp_hdr = (struct udp_hdr *)((char *)ipv4_hdr + pkt->outer_l3_len);\n+\tudp_hdr = (struct rte_udp_hdr *)((char *)ipv4_hdr + pkt->outer_l3_len);\n \tudp_hdr->dgram_len = rte_cpu_to_be_16(len);\n \n \t/* Update the inner IPv4 header. */\n@@ -292,7 +292,7 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \tstruct rte_ether_hdr *outer_eth_hdr, *eth_hdr;\n \tstruct rte_ipv4_hdr *outer_ipv4_hdr, *ipv4_hdr;\n \tstruct rte_tcp_hdr *tcp_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tstruct rte_vxlan_hdr *vxlan_hdr;\n \tuint32_t sent_seq;\n \tuint16_t tcp_dl, frag_off, outer_ip_id, ip_id;\n@@ -308,10 +308,10 @@ gro_vxlan_tcp4_reassemble(struct rte_mbuf *pkt,\n \touter_eth_hdr = rte_pktmbuf_mtod(pkt, struct rte_ether_hdr *);\n \touter_ipv4_hdr = (struct rte_ipv4_hdr *)((char *)outer_eth_hdr +\n \t\t\tpkt->outer_l2_len);\n-\tudp_hdr = (struct udp_hdr *)((char *)outer_ipv4_hdr +\n+\tudp_hdr = (struct rte_udp_hdr *)((char *)outer_ipv4_hdr +\n \t\t\tpkt->outer_l3_len);\n \tvxlan_hdr = (struct rte_vxlan_hdr *)((char *)udp_hdr +\n-\t\t\tsizeof(struct udp_hdr));\n+\t\t\tsizeof(struct rte_udp_hdr));\n \teth_hdr = (struct rte_ether_hdr *)((char *)vxlan_hdr +\n \t\t\tsizeof(struct rte_vxlan_hdr));\n \tipv4_hdr = (struct rte_ipv4_hdr *)((char *)udp_hdr + pkt->l2_len);\ndiff --git a/lib/librte_gso/gso_common.h b/lib/librte_gso/gso_common.h\nindex a35030153..48ad1686f 100644\n--- a/lib/librte_gso/gso_common.h\n+++ b/lib/librte_gso/gso_common.h\n@@ -46,9 +46,9 @@\n static inline void\n update_udp_header(struct rte_mbuf *pkt, uint16_t udp_offset)\n {\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \n-\tudp_hdr = (struct udp_hdr *)(rte_pktmbuf_mtod(pkt, char *) +\n+\tudp_hdr = (struct rte_udp_hdr *)(rte_pktmbuf_mtod(pkt, char *) +\n \t\t\tudp_offset);\n \tudp_hdr->dgram_len = rte_cpu_to_be_16(pkt->pkt_len - udp_offset);\n }\ndiff --git a/lib/librte_gso/rte_gso.h b/lib/librte_gso/rte_gso.h\nindex 8f65adf1c..3aab297f4 100644\n--- a/lib/librte_gso/rte_gso.h\n+++ b/lib/librte_gso/rte_gso.h\n@@ -23,7 +23,7 @@ extern \"C\" {\n \n /* Minimum GSO segment size for UDP based packets. */\n #define RTE_GSO_UDP_SEG_SIZE_MIN (sizeof(struct rte_ether_hdr) + \\\n-\t\tsizeof(struct rte_ipv4_hdr) + sizeof(struct udp_hdr) + 1)\n+\t\tsizeof(struct rte_ipv4_hdr) + sizeof(struct rte_udp_hdr) + 1)\n \n /* GSO flags for rte_gso_ctx. */\n #define RTE_GSO_FLAG_IPID_FIXED (1ULL << 0)\ndiff --git a/lib/librte_net/rte_ether.h b/lib/librte_net/rte_ether.h\nindex f279cf33c..737a2614d 100644\n--- a/lib/librte_net/rte_ether.h\n+++ b/lib/librte_net/rte_ether.h\n@@ -307,7 +307,7 @@ struct rte_vxlan_hdr {\n #define RTE_ETHER_TYPE_TEB  0x6558 /**< Transparent Ethernet Bridging. */\n #define RTE_ETHER_TYPE_LLDP 0x88CC /**< LLDP Protocol. */\n \n-#define RTE_ETHER_VXLAN_HLEN (sizeof(struct udp_hdr) + sizeof(struct rte_vxlan_hdr))\n+#define RTE_ETHER_VXLAN_HLEN (sizeof(struct rte_udp_hdr) + sizeof(struct rte_vxlan_hdr))\n /**< VXLAN tunnel header length. */\n \n /**\n@@ -331,7 +331,7 @@ struct rte_vxlan_gpe_hdr {\n #define RTE_VXLAN_GPE_TYPE_GBP  6 /**< GBP Protocol. */\n #define RTE_VXLAN_GPE_TYPE_VBNG 7 /**< vBNG Protocol. */\n \n-#define RTE_ETHER_VXLAN_GPE_HLEN (sizeof(struct udp_hdr) + \\\n+#define RTE_ETHER_VXLAN_GPE_HLEN (sizeof(struct rte_udp_hdr) + \\\n \t\t\t      sizeof(struct rte_vxlan_gpe_hdr))\n /**< VXLAN-GPE tunnel header length. */\n \ndiff --git a/lib/librte_net/rte_net.c b/lib/librte_net/rte_net.c\nindex 9712c75ac..ffd15eaf3 100644\n--- a/lib/librte_net/rte_net.c\n+++ b/lib/librte_net/rte_net.c\n@@ -338,7 +338,7 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t}\n \n \tif ((pkt_type & RTE_PTYPE_L4_MASK) == RTE_PTYPE_L4_UDP) {\n-\t\thdr_lens->l4_len = sizeof(struct udp_hdr);\n+\t\thdr_lens->l4_len = sizeof(struct rte_udp_hdr);\n \t\treturn pkt_type;\n \t} else if ((pkt_type & RTE_PTYPE_L4_MASK) == RTE_PTYPE_L4_TCP) {\n \t\tconst struct rte_tcp_hdr *th;\n@@ -474,7 +474,7 @@ uint32_t rte_net_get_ptype(const struct rte_mbuf *m,\n \t}\n \n \tif ((pkt_type & RTE_PTYPE_INNER_L4_MASK) == RTE_PTYPE_INNER_L4_UDP) {\n-\t\thdr_lens->inner_l4_len = sizeof(struct udp_hdr);\n+\t\thdr_lens->inner_l4_len = sizeof(struct rte_udp_hdr);\n \t} else if ((pkt_type & RTE_PTYPE_INNER_L4_MASK) ==\n \t\t\tRTE_PTYPE_INNER_L4_TCP) {\n \t\tconst struct rte_tcp_hdr *th;\ndiff --git a/lib/librte_net/rte_net.h b/lib/librte_net/rte_net.h\nindex 4c27f4611..d67d6ab19 100644\n--- a/lib/librte_net/rte_net.h\n+++ b/lib/librte_net/rte_net.h\n@@ -115,7 +115,7 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n \tstruct rte_ipv4_hdr *ipv4_hdr;\n \tstruct rte_ipv6_hdr *ipv6_hdr;\n \tstruct rte_tcp_hdr *tcp_hdr;\n-\tstruct udp_hdr *udp_hdr;\n+\tstruct rte_udp_hdr *udp_hdr;\n \tuint64_t inner_l3_offset = m->l2_len;\n \n \tif ((ol_flags & PKT_TX_OUTER_IP_CKSUM) ||\n@@ -132,7 +132,7 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n \n \tif ((ol_flags & PKT_TX_UDP_CKSUM) == PKT_TX_UDP_CKSUM) {\n \t\tif (ol_flags & PKT_TX_IPV4) {\n-\t\t\tudp_hdr = (struct udp_hdr *)((char *)ipv4_hdr +\n+\t\t\tudp_hdr = (struct rte_udp_hdr *)((char *)ipv4_hdr +\n \t\t\t\t\tm->l3_len);\n \t\t\tudp_hdr->dgram_cksum = rte_ipv4_phdr_cksum(ipv4_hdr,\n \t\t\t\t\tol_flags);\n@@ -140,7 +140,7 @@ rte_net_intel_cksum_flags_prepare(struct rte_mbuf *m, uint64_t ol_flags)\n \t\t\tipv6_hdr = rte_pktmbuf_mtod_offset(m, struct rte_ipv6_hdr *,\n \t\t\t\t\tinner_l3_offset);\n \t\t\t/* non-TSO udp */\n-\t\t\tudp_hdr = rte_pktmbuf_mtod_offset(m, struct udp_hdr *,\n+\t\t\tudp_hdr = rte_pktmbuf_mtod_offset(m, struct rte_udp_hdr *,\n \t\t\t\t\tinner_l3_offset + m->l3_len);\n \t\t\tudp_hdr->dgram_cksum = rte_ipv6_phdr_cksum(ipv6_hdr,\n \t\t\t\t\tol_flags);\ndiff --git a/lib/librte_net/rte_udp.h b/lib/librte_net/rte_udp.h\nindex ba033955c..1c3437c5f 100644\n--- a/lib/librte_net/rte_udp.h\n+++ b/lib/librte_net/rte_udp.h\n@@ -23,7 +23,7 @@ extern \"C\" {\n /**\n  * UDP Header\n  */\n-struct udp_hdr {\n+struct rte_udp_hdr {\n \tuint16_t src_port;    /**< UDP source port. */\n \tuint16_t dst_port;    /**< UDP destination port. */\n \tuint16_t dgram_len;   /**< UDP datagram length */\ndiff --git a/lib/librte_pipeline/rte_table_action.c b/lib/librte_pipeline/rte_table_action.c\nindex 15bbdd674..36cefcf31 100644\n--- a/lib/librte_pipeline/rte_table_action.c\n+++ b/lib/librte_pipeline/rte_table_action.c\n@@ -505,7 +505,7 @@ struct encap_pppoe_data {\n struct encap_vxlan_ipv4_data {\n \tstruct rte_ether_hdr ether;\n \tstruct rte_ipv4_hdr ipv4;\n-\tstruct udp_hdr udp;\n+\tstruct rte_udp_hdr udp;\n \tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n@@ -513,14 +513,14 @@ struct encap_vxlan_ipv4_vlan_data {\n \tstruct rte_ether_hdr ether;\n \tstruct rte_vlan_hdr vlan;\n \tstruct rte_ipv4_hdr ipv4;\n-\tstruct udp_hdr udp;\n+\tstruct rte_udp_hdr udp;\n \tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n struct encap_vxlan_ipv6_data {\n \tstruct rte_ether_hdr ether;\n \tstruct rte_ipv6_hdr ipv6;\n-\tstruct udp_hdr udp;\n+\tstruct rte_udp_hdr udp;\n \tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n@@ -528,7 +528,7 @@ struct encap_vxlan_ipv6_vlan_data {\n \tstruct rte_ether_hdr ether;\n \tstruct rte_vlan_hdr vlan;\n \tstruct rte_ipv6_hdr ipv6;\n-\tstruct udp_hdr udp;\n+\tstruct rte_udp_hdr udp;\n \tstruct rte_vxlan_hdr vxlan;\n } __attribute__((__packed__));\n \n@@ -963,13 +963,13 @@ pkt_work_encap_vxlan_ipv4(struct rte_mbuf *mbuf,\n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv4_total_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr) +\n+\t\tsizeof(struct rte_udp_hdr) +\n \t\tsizeof(struct rte_ipv4_hdr));\n \tipv4_hdr_cksum = encap_vxlan_ipv4_checksum_update(vxlan_tbl->ipv4.hdr_checksum,\n \t\trte_htons(ipv4_total_length));\n \tudp_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n \tvxlan_pkt->ipv4.total_length = rte_htons(ipv4_total_length);\n@@ -993,13 +993,13 @@ pkt_work_encap_vxlan_ipv4_vlan(struct rte_mbuf *mbuf,\n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv4_total_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr) +\n+\t\tsizeof(struct rte_udp_hdr) +\n \t\tsizeof(struct rte_ipv4_hdr));\n \tipv4_hdr_cksum = encap_vxlan_ipv4_checksum_update(vxlan_tbl->ipv4.hdr_checksum,\n \t\trte_htons(ipv4_total_length));\n \tudp_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n \tvxlan_pkt->ipv4.total_length = rte_htons(ipv4_total_length);\n@@ -1023,10 +1023,10 @@ pkt_work_encap_vxlan_ipv6(struct rte_mbuf *mbuf,\n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv6_payload_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \tudp_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n \tvxlan_pkt->ipv6.payload_len = rte_htons(ipv6_payload_length);\n@@ -1049,10 +1049,10 @@ pkt_work_encap_vxlan_ipv6_vlan(struct rte_mbuf *mbuf,\n \tether_length = (uint16_t)mbuf->pkt_len;\n \tipv6_payload_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \tudp_length = ether_length +\n \t\t(sizeof(struct rte_vxlan_hdr) +\n-\t\tsizeof(struct udp_hdr));\n+\t\tsizeof(struct rte_udp_hdr));\n \n \tvxlan_pkt = encap(ether, vxlan_tbl, sizeof(*vxlan_tbl));\n \tvxlan_pkt->ipv6.payload_len = rte_htons(ipv6_payload_length);\n@@ -1311,7 +1311,7 @@ pkt_ipv4_work_nat(struct rte_ipv4_hdr *ip,\n \t\t\ttcp->src_port = data->port;\n \t\t\ttcp->cksum = tcp_cksum;\n \t\t} else {\n-\t\t\tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n+\t\t\tstruct rte_udp_hdr *udp = (struct rte_udp_hdr *) &ip[1];\n \t\t\tuint16_t ip_cksum, udp_cksum;\n \n \t\t\tip_cksum = nat_ipv4_checksum_update(ip->hdr_checksum,\n@@ -1350,7 +1350,7 @@ pkt_ipv4_work_nat(struct rte_ipv4_hdr *ip,\n \t\t\ttcp->dst_port = data->port;\n \t\t\ttcp->cksum = tcp_cksum;\n \t\t} else {\n-\t\t\tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n+\t\t\tstruct rte_udp_hdr *udp = (struct rte_udp_hdr *) &ip[1];\n \t\t\tuint16_t ip_cksum, udp_cksum;\n \n \t\t\tip_cksum = nat_ipv4_checksum_update(ip->hdr_checksum,\n@@ -1392,7 +1392,7 @@ pkt_ipv6_work_nat(struct rte_ipv6_hdr *ip,\n \t\t\ttcp->src_port = data->port;\n \t\t\ttcp->cksum = tcp_cksum;\n \t\t} else {\n-\t\t\tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n+\t\t\tstruct rte_udp_hdr *udp = (struct rte_udp_hdr *) &ip[1];\n \t\t\tuint16_t udp_cksum;\n \n \t\t\tudp_cksum = nat_ipv6_tcp_udp_checksum_update(udp->dgram_cksum,\n@@ -1420,7 +1420,7 @@ pkt_ipv6_work_nat(struct rte_ipv6_hdr *ip,\n \t\t\ttcp->dst_port = data->port;\n \t\t\ttcp->cksum = tcp_cksum;\n \t\t} else {\n-\t\t\tstruct udp_hdr *udp = (struct udp_hdr *) &ip[1];\n+\t\t\tstruct rte_udp_hdr *udp = (struct rte_udp_hdr *) &ip[1];\n \t\t\tuint16_t udp_cksum;\n \n \t\t\tudp_cksum = nat_ipv6_tcp_udp_checksum_update(udp->dgram_cksum,\ndiff --git a/lib/librte_vhost/virtio_net.c b/lib/librte_vhost/virtio_net.c\nindex 11e76386c..eaa078a8d 100644\n--- a/lib/librte_vhost/virtio_net.c\n+++ b/lib/librte_vhost/virtio_net.c\n@@ -248,7 +248,7 @@ virtio_enqueue_offload(struct rte_mbuf *m_buf, struct virtio_net_hdr *net_hdr)\n \t\t\t\t\t\tcksum));\n \t\t\tbreak;\n \t\tcase PKT_TX_UDP_CKSUM:\n-\t\t\tnet_hdr->csum_offset = (offsetof(struct udp_hdr,\n+\t\t\tnet_hdr->csum_offset = (offsetof(struct rte_udp_hdr,\n \t\t\t\t\t\tdgram_cksum));\n \t\t\tbreak;\n \t\tcase PKT_TX_SCTP_CKSUM:\n@@ -1027,7 +1027,7 @@ vhost_dequeue_offload(struct virtio_net_hdr *hdr, struct rte_mbuf *m)\n \t\t\t\tif (l4_proto == IPPROTO_TCP)\n \t\t\t\t\tm->ol_flags |= PKT_TX_TCP_CKSUM;\n \t\t\t\tbreak;\n-\t\t\tcase (offsetof(struct udp_hdr, dgram_cksum)):\n+\t\t\tcase (offsetof(struct rte_udp_hdr, dgram_cksum)):\n \t\t\t\tif (l4_proto == IPPROTO_UDP)\n \t\t\t\t\tm->ol_flags |= PKT_TX_UDP_CKSUM;\n \t\t\t\tbreak;\n@@ -1053,7 +1053,7 @@ vhost_dequeue_offload(struct virtio_net_hdr *hdr, struct rte_mbuf *m)\n \t\tcase VIRTIO_NET_HDR_GSO_UDP:\n \t\t\tm->ol_flags |= PKT_TX_UDP_SEG;\n \t\t\tm->tso_segsz = hdr->gso_size;\n-\t\t\tm->l4_len = sizeof(struct udp_hdr);\n+\t\t\tm->l4_len = sizeof(struct rte_udp_hdr);\n \t\t\tbreak;\n \t\tdefault:\n \t\t\tRTE_LOG(WARNING, VHOST_DATA,\ndiff --git a/test/test/packet_burst_generator.c b/test/test/packet_burst_generator.c\nindex 5730f8129..305ed3d0d 100644\n--- a/test/test/packet_burst_generator.c\n+++ b/test/test/packet_burst_generator.c\n@@ -90,12 +90,12 @@ initialize_arp_header(struct rte_arp_hdr *arp_hdr, struct rte_ether_addr *src_ma\n }\n \n uint16_t\n-initialize_udp_header(struct udp_hdr *udp_hdr, uint16_t src_port,\n+initialize_udp_header(struct rte_udp_hdr *udp_hdr, uint16_t src_port,\n \t\tuint16_t dst_port, uint16_t pkt_data_len)\n {\n \tuint16_t pkt_len;\n \n-\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct udp_hdr));\n+\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct rte_udp_hdr));\n \n \tudp_hdr->src_port = rte_cpu_to_be_16(src_port);\n \tudp_hdr->dst_port = rte_cpu_to_be_16(dst_port);\n@@ -126,7 +126,7 @@ initialize_sctp_header(struct rte_sctp_hdr *sctp_hdr, uint16_t src_port,\n {\n \tuint16_t pkt_len;\n \n-\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct udp_hdr));\n+\tpkt_len = (uint16_t) (pkt_data_len + sizeof(struct rte_udp_hdr));\n \n \tsctp_hdr->src_port = rte_cpu_to_be_16(src_port);\n \tsctp_hdr->dst_port = rte_cpu_to_be_16(dst_port);\n@@ -257,7 +257,7 @@ initialize_ipv4_header_proto(struct rte_ipv4_hdr *ip_hdr, uint32_t src_addr,\n int\n generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n \t\tstruct rte_ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n-\t\tuint8_t ipv4, struct udp_hdr *udp_hdr, int nb_pkt_per_burst,\n+\t\tuint8_t ipv4, struct rte_udp_hdr *udp_hdr, int nb_pkt_per_burst,\n \t\tuint8_t pkt_len, uint8_t nb_pkt_segs)\n {\n \tint i, nb_pkt = 0;\n@@ -384,7 +384,7 @@ generate_packet_burst_proto(struct rte_mempool *mp,\n \t\t\tswitch (proto) {\n \t\t\tcase IPPROTO_UDP:\n \t\t\t\tcopy_buf_to_pkt(proto_hdr,\n-\t\t\t\t\tsizeof(struct udp_hdr), pkt,\n+\t\t\t\t\tsizeof(struct rte_udp_hdr), pkt,\n \t\t\t\t\teth_hdr_size + sizeof(struct rte_ipv4_hdr));\n \t\t\t\tbreak;\n \t\t\tcase IPPROTO_TCP:\n@@ -406,7 +406,7 @@ generate_packet_burst_proto(struct rte_mempool *mp,\n \t\t\tswitch (proto) {\n \t\t\tcase IPPROTO_UDP:\n \t\t\t\tcopy_buf_to_pkt(proto_hdr,\n-\t\t\t\t\tsizeof(struct udp_hdr), pkt,\n+\t\t\t\t\tsizeof(struct rte_udp_hdr), pkt,\n \t\t\t\t\teth_hdr_size + sizeof(struct rte_ipv6_hdr));\n \t\t\t\tbreak;\n \t\t\tcase IPPROTO_TCP:\ndiff --git a/test/test/packet_burst_generator.h b/test/test/packet_burst_generator.h\nindex 5cfe0c600..1ad444b2c 100644\n--- a/test/test/packet_burst_generator.h\n+++ b/test/test/packet_burst_generator.h\n@@ -34,7 +34,7 @@ initialize_arp_header(struct rte_arp_hdr *arp_hdr, struct rte_ether_addr *src_ma\n \t\tuint32_t opcode);\n \n uint16_t\n-initialize_udp_header(struct udp_hdr *udp_hdr, uint16_t src_port,\n+initialize_udp_header(struct rte_udp_hdr *udp_hdr, uint16_t src_port,\n \t\tuint16_t dst_port, uint16_t pkt_data_len);\n \n uint16_t\n@@ -60,7 +60,7 @@ initialize_ipv4_header_proto(struct rte_ipv4_hdr *ip_hdr, uint32_t src_addr,\n int\n generate_packet_burst(struct rte_mempool *mp, struct rte_mbuf **pkts_burst,\n \t\tstruct rte_ether_hdr *eth_hdr, uint8_t vlan_enabled, void *ip_hdr,\n-\t\tuint8_t ipv4, struct udp_hdr *udp_hdr, int nb_pkt_per_burst,\n+\t\tuint8_t ipv4, struct rte_udp_hdr *udp_hdr, int nb_pkt_per_burst,\n \t\tuint8_t pkt_len, uint8_t nb_pkt_segs);\n \n int\ndiff --git a/test/test/test_flow_classify.c b/test/test/test_flow_classify.c\nindex 1a79326b8..a9d32cd37 100644\n--- a/test/test/test_flow_classify.c\n+++ b/test/test/test_flow_classify.c\n@@ -491,7 +491,7 @@ init_ipv4_udp_traffic(struct rte_mempool *mp,\n {\n \tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct rte_ipv4_hdr pkt_ipv4_hdr;\n-\tstruct udp_hdr pkt_udp_hdr;\n+\tstruct rte_udp_hdr pkt_udp_hdr;\n \tuint32_t src_addr = IPV4_ADDR(2, 2, 2, 3);\n \tuint32_t dst_addr = IPV4_ADDR(2, 2, 2, 7);\n \tuint16_t src_port = 32;\ndiff --git a/test/test/test_link_bonding.c b/test/test/test_link_bonding.c\nindex 924de81ae..7d3d19034 100644\n--- a/test/test/test_link_bonding.c\n+++ b/test/test/test_link_bonding.c\n@@ -80,13 +80,13 @@ struct link_bonding_unittest_params {\n \tstruct rte_ether_hdr *pkt_eth_hdr;\n \tstruct rte_ipv4_hdr *pkt_ipv4_hdr;\n \tstruct rte_ipv6_hdr *pkt_ipv6_hdr;\n-\tstruct udp_hdr *pkt_udp_hdr;\n+\tstruct rte_udp_hdr *pkt_udp_hdr;\n \n };\n \n static struct rte_ipv4_hdr pkt_ipv4_hdr;\n static struct rte_ipv6_hdr pkt_ipv6_hdr;\n-static struct udp_hdr pkt_udp_hdr;\n+static struct rte_udp_hdr pkt_udp_hdr;\n \n static struct link_bonding_unittest_params default_params  = {\n \t.bonded_port_id = -1,\ndiff --git a/test/test/test_link_bonding_mode4.c b/test/test/test_link_bonding_mode4.c\nindex 4968cd91c..e3eab892c 100644\n--- a/test/test/test_link_bonding_mode4.c\n+++ b/test/test/test_link_bonding_mode4.c\n@@ -732,7 +732,7 @@ generate_packets(struct rte_ether_addr *src_mac,\n \tuint32_t ip_dst[4] = { [0 ... 2] = 0xFEEDFACE, [3] = RTE_IPv4(192, 168, 0, 2) };\n \n \tstruct rte_ether_hdr pkt_eth_hdr;\n-\tstruct udp_hdr pkt_udp_hdr;\n+\tstruct rte_udp_hdr pkt_udp_hdr;\n \tunion {\n \t\tstruct rte_ipv4_hdr v4;\n \t\tstruct rte_ipv6_hdr v6;\ndiff --git a/test/test/test_pmd_perf.c b/test/test/test_pmd_perf.c\nindex 3246b7ab6..b5635900b 100644\n--- a/test/test/test_pmd_perf.c\n+++ b/test/test/test_pmd_perf.c\n@@ -184,7 +184,7 @@ init_traffic(struct rte_mempool *mp,\n {\n \tstruct rte_ether_hdr pkt_eth_hdr;\n \tstruct rte_ipv4_hdr pkt_ipv4_hdr;\n-\tstruct udp_hdr pkt_udp_hdr;\n+\tstruct rte_udp_hdr pkt_udp_hdr;\n \tuint32_t pktlen;\n \tstatic uint8_t src_mac[] = { 0x00, 0xFF, 0xAA, 0xFF, 0xAA, 0xFF };\n \tstatic uint8_t dst_mac[] = { 0x00, 0xAA, 0xFF, 0xAA, 0xFF, 0xAA };\n",
    "prefixes": [
        "RFC",
        "14/14"
    ]
}